Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
  • Home
  • Wiki
  • Forum
  • EDA
    • AMIQ EDA
    • Aniah
    • Ansys, Inc.
    • Breker Verification Systems
    • Cadence
    • ChipAgents AI
    • Defacto Technologies
    • Easy-Logic
    • Empyrean
    • Infinisim
    • Keysight EDA
    • LUBIS EDA
    • PDF Solutions
    • Perforce
    • Mach42
    • Rise Design Automation
    • Siemens EDA
    • Silvaco
    • Synopsys
    • S2C EDA
    • Undo
    • yieldHUB
  • IP
    • Agile Analog
    • Alphacore
    • Alphawave Semi
    • Analog Bits
    • Andes Technology
    • Arteris
    • CAST
    • Certus Semiconductor
    • Ceva
    • Keysom
    • Mixel
    • Quadric
    • Semidynamics
    • Silicon Creations
    • sureCore
    • Synopsys
    • Weebit Nano
  • Services
    • Accellera
    • Aion Silicon
    • Alchip
    • Axiomise
    • IPnest
    • Samtec
    • Semiconductor Advisors
    • Semiconductor Intelligence
    • Silicon Catalyst
    • TechInsights
    • Tuple Technologies
  • Foundries
    • Intel Foundry
    • Soitec
    • TSMC
  • Analytics
    • PDF Solutions
    • proteanTecs
    • yieldHUB
  • Security
    • Caspia Technologies
    • Cycuity
    • PQShield
    • Secure-IC
  • Books
  • Job Board
  • Podcast
  • Videos
  • LATEST NEWS:
  • CEO Interviews
  • EDA
  • IP
  • Chiplet
  • TSMC
  • Intel Foundry
  • RISC-V
  • Arm
  • AI
  • Analytics
  • Automotive
  • 3D IC
  • Security
  • Events
Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
Guests have limited access.
Join our community today!
You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!

Wiki Index

  • Company Wikis
    • Accellera Wiki
    • Achronix Wiki
    • Agile Analog Wiki
    • Agnisys Wiki
    • Aion Silicon Wiki
    • Alchip Wiki
    • Alpha Design AI Wiki
    • Alphacore Wiki
    • Alphawave Wiki
    • Altair Wiki
    • AMIQ EDA Wiki
    • Analog Bits Wiki
    • Andes Technology Wiki
    • Ansys Wiki
    • Arteris Company Wiki
    • Axiomise Wiki
    • Blue Cheetah Analog Design Wiki
    • Breker Verification Systems Wiki
    • Cadence Design Systems, Inc. Wiki
    • Caspia Technologies Wiki
    • CAST Wiki
    • Certus Semiconductor Wiki
    • Ceva Wiki
    • ClioSoft Wiki
    • Codasip Wiki
    • Comcores Wiki
    • Corigine Wiki
    • Cycuity Wiki
    • Defacto Technologies Wiki
    • Diakopto Wiki
    • Easy-Logic Wiki
    • Efabless Wiki
    • Empyrean Wiki
    • Expedera Wiki
    • Flex Logix Wiki
    • GlobalFoundries (GF) Wiki
    • Hypertsone Wiki
    • IC Manage Wiki
    • imec Wiki
    • Infinisim Wiki
    • Innova Wiki
    • Intel Foundry Services Wiki
    • Intrinsic ID Wiki
    • IROC Technologies Wiki
    • Keysight EDA Wiki
    • Keysom Wiki
    • LUBIS EDA Wiki
    • Mach42 Wiki
    • Maven Silicon Wiki
    • Mirabilis Design Wiki
    • Mixel Wiki
    • Mobiveil Wiki
    • Movellus Wiki
    • MunEDA Wiki
    • MZ Technologies Wiki
    • PDF Solutions Wiki
    • Perforce Wiki
    • Planorama Design Wiki
    • PQShield Wiki
    • Pragmatic Wiki
    • proteanTecs Wiki
    • Quadric Wiki
    • Rapidus Corporation Wiki
    • Rise Design Automation
    • S2C EDA Wiki
    • Samtec Wiki
    • Sarcina Technology Wiki
    • Scientific Analog Wiki
    • Secure-IC Company Wiki
    • Semiconductor Advisors Wiki
    • Semiconductor Business Intelligence Wiki
    • Semiconductor Intelligence Wiki
    • Semidynamics Wiki
    • SemiWiki Wiki
    • Siemens EDA Wiki
    • Silicon Catalyst Wiki
    • Silicon Creations Wiki
    • Silvaco Wiki
    • Skywater Technology Wiki
    • SOITEC Wiki
    • Sondrel Wiki
    • sureCore Wiki
    • Synopsys Wiki
    • Tech-X Corporation Wiki
    • TechInsights Wiki
    • TenXer Labs Wiki
    • Truechip Wiki
    • TSMC Open Innovation Platform Wiki
    • TSMC Wiki
    • Tuple Technologies Wiki
    • Undo Wiki
    • Weebit Nano Wiki
    • yieldHUB Wiki
    • Zentera Wiki
  • Industry Wikis
    • 3D IC (Three-Dimensional Integrated Circuit) Wiki
    • Artificial Intelligence (AI) Wiki
    • Backside Power Delivery (BSPD) Wiki
    • CFET (Complementary FET) Wiki
    • Chiplets Wiki
    • CMOS 2.0 Wiki
    • CoPos (Chip-on-Panel-on-Substrate) Wiki
    • CoWoPCB (Chip-on-Wafer-on-PCB) Wiki
    • CoWoS® (Chip-on-Wafer-on-Substrate) Wiki
    • Craig R. Barrett Wiki
    • Dr. Anirudh Devgan Wiki
    • Dr. Atsuyoshi Koike Wiki
    • Dr. C.C. Wei Wiki
    • Dr. Cliff Hou Wiki
    • Dr. Lisa Su Wiki
    • Dr. Morris Chang Wiki
    • Dr. Walden C. Rhines Wiki
    • Dr. Wei-Jen Lo Wiki
    • Dr. Y.J. Mii WIki
    • Dr. Yervant Zorian Wiki
    • EDA Open Source and Free Tools Wiki
    • EDA/IP Mergers and Acquisitions Wiki
    • Electronic Design Automation (EDA) Wiki
    • EUV Extreme Ultraviolet Lithography Wiki
    • FinFET Wiki
    • GAAFET (Gate-All-Around FET) Wiki
    • High-NA EUV Lithography Wiki
    • Hock Tan Wiki
    • IC Packaging Comparison Wiki (TSMC, Intel, Samsung)
    • Intel 18A Process Technology Wiki
    • Intel 18A(P) Process Technology Wiki
    • Intel 3 Process Technology Wiki
    • Intel 3 vs. Intel 18A Wiki
    • Intel 7 Process Technology Wiki
    • Intel Backside Power Delivery (PowerVia) Wiki
    • Intel EMIB (Embedded Multi-die Interconnect Bridge)
    • Intel Foveros Wiki
    • Jensen Huang Wiki
    • Lip-Bu Tan Wiki
    • Luc Van den hove Wiki
    • Masayoshi Son Wiki
    • Moore’s Law Wiki
    • Open Chiplet Architecture (OAC) Wiki
    • Pat Gelsinger Wiki
    • Paul Otellini Wiki
    • Rapidus 2nm Process Technology Wiki
    • Samsung 2nm Process Technology Wiki
    • Samsung 3nm Process Technology Wiki
    • Samsung X-Cube™ (eXtended-Cube)
    • Semiconductor Conferences Wiki
    • Semiconductor Gigafab (Giga Fabrication Plant) Wiki
    • Semiconductor Hardware Security Assurance
    • Semiconductor IP Lifecycle Wiki
    • Semiconductor Value Chain Wiki
    • Semiconductors Wiki
    • System on Chip (SoC) Wiki
    • SystemVerilog Wiki
    • TSMC 3D Fabric™ Wiki
    • TSMC A14 Process Technology Wiki
    • TSMC A16 Process Technology Wiki
    • TSMC Logic Technology Wiki
    • TSMC N2 Process Technology Wiki
    • TSMC N2 vs Intel 18A vs Samsung SF2 Wiki
    • TSMC N3 Process Technology Wiki
    • TSMC N5 Process Technology Wiki
    • XTCO (Cross-Technology Co-Optimization) Wiki
    • Y.P. Chyn Wiki
  • Semiconductor IP Wikis
    • AMBA (Advanced Microcontroller Bus Architecture) Wiki
    • Cryptography IP (AES Core) Wiki
    • DDR vs. LPDDR vs. HBM Wiki
    • DDR vs. LPDDR Wiki
    • DDR Wiki
    • Digital Signal Processor (DSP) Wiki
    • General-Purpose Media Interface (GPMI) Wiki
    • HDMI Wiki
    • High Bandwidth Memory (HBM) Wiki
    • LPDDR Wiki
    • MIPI Alliance Wiki
    • Network-on-Chip (NoC) Wiki
    • Non-Volatile Memory (NVM) Wiki
    • PCI Express Wiki
    • Processor IP Wiki
    • RISC-V Wiki
    • Semiconductor AI/ML IP Wiki
    • Semiconductor Interface IP Wiki
    • Semiconductor IP Wiki
    • Semiconductor Memory IP
    • Semiconductor Security IP Wiki
    • Semiconductor Verification IP (VIP) Wiki
    • SerDes (Serializer/Deserializer) Wiki
    • Tesla Dojo Chip Wiki
    • UCIe (Universal Chiplet Interconnect Express) Wiki
    • UCIe 3.0 Wiki
    • USB Wiki

Trending Now

August 30, 2025
  • Chiplets: providing commercially valuable patent protection for modular products

  • IMEC’s Advanced Node Yield Model Now Addresses EUV Stochastics

  • WEBINAR: Functional ECO Solution for Mixed-Signal ASIC Design

  • Taming Concurrency: A New Era of Debugging Multithreaded Code

  • Perforce Webinar: Can You Trust GenAI for Your Next Chip Design?

Google Translate

Synopsys Processor Summit 2025 400x400
J50747 400X400
SiC CatalystsOfInnovation 2025 4
Block 400x400 0810
Semi Wiki 400x400 banner
J44110 Conformal AI Studio SemiWiki Ads 400x400 (1)
SNPS1610371395 synopsys ai semiwiki ads 400x400 px
RFMW Bootcamp Banner Ad 400x400
Instance
Array
(
    [title] => Recent Forum Threads
    [title_url] => 
    [ignore_sticky] => 0
    [exclude_current] => 0
    [limit] => 10
    [sluglist] => ["jobs-dashboard"]
    [rw_opt] => Array
        (
            [widget_select] => 1
            [pageid_281769] => 1
            [pageid_281772] => 1
        )

    [display_widget_mobile] => 
    [rw_opt_exclude] => Array
        (
            [pageid_274493] => 1
            [cpt_podcast] => 1
            [cpta_podcast] => 1
            [category_16613] => 1
            [category_16631] => 1
            [taxonomy_series] => 1
        )

    [node_id] => Array
        (
            [0] => 2
        )

)
Threads
XF\Mvc\Entity\ArrayCollection Object
(
    [entities:protected] => Array
        (
            [23501] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 51
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23501
                            [node_id] => 2
                            [title] => US makes it harder for SK Hynix, Samsung to make chips in China
                            [reply_count] => 1
                            [view_count] => 104
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756511868
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91302
                            [first_post_reaction_score] => 1
                            [first_post_reactions] => {"1":1}
                            [last_post_date] => 1756518733
                            [last_post_id] => 91305
                            [last_post_user_id] => 332191
                            [last_post_username] => samwilde
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23494] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 55
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23494
                            [node_id] => 2
                            [title] => Samsung Electronics and Intel explore a foundry alliance, joining forces on everything from packaging to glass substrates to catch up with TSMC.
                            [reply_count] => 21
                            [view_count] => 1564
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756416383
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91235
                            [first_post_reaction_score] => 3
                            [first_post_reactions] => {"1":3}
                            [last_post_date] => 1756517933
                            [last_post_id] => 91304
                            [last_post_user_id] => 38697
                            [last_post_username] => blueone
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23497] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 59
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23497
                            [node_id] => 2
                            [title] => Intel at Deutsche Bank's 2025 Technology Conference
                            [reply_count] => 15
                            [view_count] => 1009
                            [user_id] => 14042
                            [username] => hist78
                            [post_date] => 1756435648
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91258
                            [first_post_reaction_score] => 2
                            [first_post_reactions] => {"1":2}
                            [last_post_date] => 1756507366
                            [last_post_id] => 91301
                            [last_post_user_id] => 138292
                            [last_post_username] => MKWVentures
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 56
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 14042
                                            [username] => hist78
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => ckckhcdc@gmail.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 0
                                            [activity_visible] => 0
                                            [user_group_id] => 2
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 2
                                            [permission_combination_id] => 8
                                            [message_count] => 3827
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1389969050
                                            [last_activity] => 1756503537
                                            [last_summary_email_date] => 1605978520
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 3
                                            [alerts_unread] => 4
                                            [avatar_date] => 1604959511
                                            [avatar_width] => 807
                                            [avatar_height] => 384
                                            [avatar_highdpi] => 1
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 3557
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => 2YRSnPrl4fVQSdv3R6uqHxqi6BDQOXve
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23463] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 63
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23463
                            [node_id] => 2
                            [title] => The Fall of Intel
                            [reply_count] => 29
                            [view_count] => 3917
                            [user_id] => 5185
                            [username] => Fred Chen
                            [post_date] => 1756033535
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 90941
                            [first_post_reaction_score] => 4
                            [first_post_reactions] => {"1":4}
                            [last_post_date] => 1756499579
                            [last_post_id] => 91299
                            [last_post_user_id] => 38697
                            [last_post_username] => blueone
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 60
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5185
                                            [username] => Fred Chen
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => chen.t.fred@gmail.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 4
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 4
                                            [permission_combination_id] => 92
                                            [message_count] => 2266
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1333032401
                                            [last_activity] => 1756479327
                                            [last_summary_email_date] => 1605940563
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 0
                                            [alerts_unread] => 1
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 1450
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => 5m-bZq0HRSi9VA-96QONHJeevdQas5S8
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23492] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 67
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23492
                            [node_id] => 2
                            [title] => Tracking the Intel turnaround - watching the hiring patterns
                            [reply_count] => 6
                            [view_count] => 864
                            [user_id] => 29779
                            [username] => tooLongInEDA
                            [post_date] => 1756390115
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91215
                            [first_post_reaction_score] => 5
                            [first_post_reactions] => {"1":5}
                            [last_post_date] => 1756480879
                            [last_post_id] => 91281
                            [last_post_user_id] => 138292
                            [last_post_username] => MKWVentures
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 64
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 29779
                                            [username] => tooLongInEDA
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => peter@parachutiste.co.uk
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => Europe/London
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 4
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 4
                                            [permission_combination_id] => 92
                                            [message_count] => 568
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1536568599
                                            [last_activity] => 1756508408
                                            [last_summary_email_date] => 1696342804
                                            [trophy_points] => 93
                                            [alerts_unviewed] => 0
                                            [alerts_unread] => 1
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 746
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => k_TWy4z7OyJ7vVDiNQoNw6GpAxDnA1-S
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23471] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 71
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23471
                            [node_id] => 2
                            [title] => Asianometry: Intel Should Second-Source Nvidia
                            [reply_count] => 54
                            [view_count] => 4777
                            [user_id] => 38088
                            [username] => Brady
                            [post_date] => 1756140895
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91015
                            [first_post_reaction_score] => 3
                            [first_post_reactions] => {"1":3}
                            [last_post_date] => 1756470531
                            [last_post_id] => 91278
                            [last_post_user_id] => 10499
                            [last_post_username] => Arthur Hanson
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 68
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 38088
                                            [username] => Brady
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => bwhitten518@gmail.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 2
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 2
                                            [permission_combination_id] => 8
                                            [message_count] => 167
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1616601803
                                            [last_activity] => 1756519417
                                            [last_summary_email_date] => 
                                            [trophy_points] => 43
                                            [alerts_unviewed] => 16
                                            [alerts_unread] => 16
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 237
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => oRo3OIHF9YWMsh3qdFkt7P5Wrg8MWmzO
                                            [privacy_policy_accepted] => 1616601803
                                            [terms_accepted] => 1616601803
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23372] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 75
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23372
                            [node_id] => 2
                            [title] => Gamers Nexus has a "movie" coming re:  Nvidia GPU smuggling into China
                            [reply_count] => 39
                            [view_count] => 6910
                            [user_id] => 35301
                            [username] => Xebec
                            [post_date] => 1754752070
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 89891
                            [first_post_reaction_score] => 2
                            [first_post_reactions] => {"1":2}
                            [last_post_date] => 1756452369
                            [last_post_id] => 91264
                            [last_post_user_id] => 29779
                            [last_post_username] => tooLongInEDA
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 72
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 35301
                                            [username] => Xebec
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => john.heritage@gmail.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/New_York
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 2
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 2
                                            [permission_combination_id] => 8
                                            [message_count] => 1225
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1598710106
                                            [last_activity] => 1756504555
                                            [last_summary_email_date] => 1631629203
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 0
                                            [alerts_unread] => 0
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 1413
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => Y7XyJgQMBi7ZiDtuAyqNGDrBQQsi8JB4
                                            [privacy_policy_accepted] => 1598710106
                                            [terms_accepted] => 1598710106
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23491] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 79
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23491
                            [node_id] => 2
                            [title] => Rapidus Announces Strategic Collaboration with Keysight To Improve Yield and Achieve High-Precision PDK For 2nm GAA Semiconductors
                            [reply_count] => 8
                            [view_count] => 927
                            [user_id] => 5185
                            [username] => Fred Chen
                            [post_date] => 1756355788
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91200
                            [first_post_reaction_score] => 0
                            [first_post_reactions] => []
                            [last_post_date] => 1756430831
                            [last_post_id] => 91254
                            [last_post_user_id] => 36448
                            [last_post_username] => Paul2
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 60
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5185
                                            [username] => Fred Chen
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => chen.t.fred@gmail.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 4
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 4
                                            [permission_combination_id] => 92
                                            [message_count] => 2266
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1333032401
                                            [last_activity] => 1756479327
                                            [last_summary_email_date] => 1605940563
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 0
                                            [alerts_unread] => 1
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 1450
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => 5m-bZq0HRSi9VA-96QONHJeevdQas5S8
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23495] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 83
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23495
                            [node_id] => 2
                            [title] => Kaiser: Intel is Too Big to Fail
                            [reply_count] => 8
                            [view_count] => 580
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756420036
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91236
                            [first_post_reaction_score] => 0
                            [first_post_reactions] => []
                            [last_post_date] => 1756426541
                            [last_post_id] => 91251
                            [last_post_user_id] => 14042
                            [last_post_username] => hist78
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23477] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 87
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23477
                            [node_id] => 2
                            [title] => Qualcomm can dump Google
                            [reply_count] => 27
                            [view_count] => 2244
                            [user_id] => 36448
                            [username] => Paul2
                            [post_date] => 1756240237
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91099
                            [first_post_reaction_score] => 0
                            [first_post_reactions] => []
                            [last_post_date] => 1756413505
                            [last_post_id] => 91232
                            [last_post_user_id] => 90411
                            [last_post_username] => vslee
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 84
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 36448
                                            [username] => Paul2
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => pavel@noa-labs.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => Asia/Dhaka
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 2
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 2
                                            [permission_combination_id] => 8
                                            [message_count] => 1291
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1606949753
                                            [last_activity] => 1756507038
                                            [last_summary_email_date] => 1715955615
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 0
                                            [alerts_unread] => 0
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 720
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => efEzRw1xb8upHCKpIENrLDbejN8APPhM
                                            [privacy_policy_accepted] => 1606949753
                                            [terms_accepted] => 1606949753
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23493] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 91
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23493
                            [node_id] => 2
                            [title] => How Tesla and Waymo’s radically different robotaxi approaches will shape the industry
                            [reply_count] => 1
                            [view_count] => 400
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756393986
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91219
                            [first_post_reaction_score] => 2
                            [first_post_reactions] => {"1":2}
                            [last_post_date] => 1756402864
                            [last_post_id] => 91221
                            [last_post_user_id] => 35301
                            [last_post_username] => Xebec
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23487] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 95
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23487
                            [node_id] => 2
                            [title] => Nvidia to report second quarter earnings, expects $8 billion hit from China chip ban
                            [reply_count] => 6
                            [view_count] => 825
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756321556
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91168
                            [first_post_reaction_score] => 1
                            [first_post_reactions] => {"1":1}
                            [last_post_date] => 1756393367
                            [last_post_id] => 91217
                            [last_post_user_id] => 5
                            [last_post_username] => Daniel Nenni
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23475] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 99
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23475
                            [node_id] => 2
                            [title] => TSMC Revenue Estimate 2025E
                            [reply_count] => 11
                            [view_count] => 1236
                            [user_id] => 90182
                            [username] => siliconbruh999
                            [post_date] => 1756221079
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91076
                            [first_post_reaction_score] => 5
                            [first_post_reactions] => {"1":5}
                            [last_post_date] => 1756363541
                            [last_post_id] => 91205
                            [last_post_user_id] => 90182
                            [last_post_username] => siliconbruh999
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 96
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 90182
                                            [username] => siliconbruh999
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => mameba3809@xtrempro.com
                                            [custom_title] => 
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => Europe/Moscow
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 2
                                            [secondary_group_ids] => 
                                            [display_style_group_id] => 2
                                            [permission_combination_id] => 8
                                            [message_count] => 1279
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1639920123
                                            [last_activity] => 1756502261
                                            [last_summary_email_date] => 
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 5
                                            [alerts_unread] => 6
                                            [avatar_date] => 0
                                            [avatar_width] => 0
                                            [avatar_height] => 0
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 0
                                            [is_admin] => 0
                                            [is_banned] => 0
                                            [reaction_score] => 1441
                                            [warning_points] => 0
                                            [is_staff] => 0
                                            [secret_key] => P2aaLJmGIrhZpcNz1Y4-qcFwSWmaD8NE
                                            [privacy_policy_accepted] => 1639920123
                                            [terms_accepted] => 1639920123
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23456] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 103
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23456
                            [node_id] => 2
                            [title] => Trump says Intel has agreed to a deal for US to take 10% equity stake
                            [reply_count] => 74
                            [view_count] => 9654
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1755887015
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 90841
                            [first_post_reaction_score] => 3
                            [first_post_reactions] => {"1":3}
                            [last_post_date] => 1756343844
                            [last_post_id] => 91190
                            [last_post_user_id] => 36640
                            [last_post_username] => soAsian
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23488] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 107
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23488
                            [node_id] => 2
                            [title] => Investors worry Trump's Intel deal kicks off era of US industrial policy
                            [reply_count] => 1
                            [view_count] => 368
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756321863
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91170
                            [first_post_reaction_score] => 0
                            [first_post_reactions] => []
                            [last_post_date] => 1756322155
                            [last_post_id] => 91174
                            [last_post_user_id] => 5
                            [last_post_username] => Daniel Nenni
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23466] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 111
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23466
                            [node_id] => 2
                            [title] => TSMC considers returning US subsidies over government equity stake
                            [reply_count] => 32
                            [view_count] => 4383
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756082336
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 90965
                            [first_post_reaction_score] => 3
                            [first_post_reactions] => {"1":2,"3":1}
                            [last_post_date] => 1756273974
                            [last_post_id] => 91147
                            [last_post_user_id] => 19450
                            [last_post_username] => user nl
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23476] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 115
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23476
                            [node_id] => 2
                            [title] => Elon Musk Predicts xAI Alone Will Buy ‘Billions’ of AI Chips Costing As Much as $25 Trillion, With 50 Million Chips Coming Within ‘5 Years’
                            [reply_count] => 5
                            [view_count] => 990
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756233679
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91095
                            [first_post_reaction_score] => 2
                            [first_post_reactions] => {"1":2,"4":1}
                            [last_post_date] => 1756270020
                            [last_post_id] => 91146
                            [last_post_user_id] => 38088
                            [last_post_username] => Brady
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23448] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 119
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23448
                            [node_id] => 2
                            [title] => What does the Softbank $2B Investment in Intel Really mean?
                            [reply_count] => 11
                            [view_count] => 4097
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1755795055
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 90780
                            [first_post_reaction_score] => 0
                            [first_post_reactions] => []
                            [last_post_date] => 1756242758
                            [last_post_id] => 91108
                            [last_post_user_id] => 5
                            [last_post_username] => Daniel Nenni
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23470] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 123
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23470
                            [node_id] => 2
                            [title] => Intel warns US stake could hurt international sales, future grants
                            [reply_count] => 22
                            [view_count] => 3048
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756138585
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91014
                            [first_post_reaction_score] => 1
                            [first_post_reactions] => {"1":1}
                            [last_post_date] => 1756229790
                            [last_post_id] => 91091
                            [last_post_user_id] => 14042
                            [last_post_username] => hist78
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

            [23474] => ThemeHouse\XPress\XF\Entity\Thread Object
                (
                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 127
                    [rootClass:protected] => XF\Entity\Thread
                    [_useReplaceInto:protected] => 
                    [_newValues:protected] => Array
                        (
                        )

                    [_values:protected] => Array
                        (
                            [thread_id] => 23474
                            [node_id] => 2
                            [title] => Nikkei: TSMC to exclude Chinese manufacturing equipment in 2-nanometer chip production
                            [reply_count] => 0
                            [view_count] => 694
                            [user_id] => 5
                            [username] => Daniel Nenni
                            [post_date] => 1756190630
                            [sticky] => 0
                            [discussion_state] => visible
                            [discussion_open] => 1
                            [discussion_type] => discussion
                            [first_post_id] => 91061
                            [first_post_reaction_score] => 0
                            [first_post_reactions] => []
                            [last_post_date] => 1756190630
                            [last_post_id] => 91061
                            [last_post_user_id] => 5
                            [last_post_username] => Daniel Nenni
                            [prefix_id] => 0
                            [tags] => []
                            [custom_fields] => []
                            [vote_score] => 0
                            [vote_count] => 0
                            [type_data] => []
                        )

                    [_relations:protected] => Array
                        (
                            [User] => ThemeHouse\XLink\XF\Entity\User Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 48
                                    [rootClass:protected] => XF\Entity\User
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [user_id] => 5
                                            [username] => Daniel Nenni
                                            [username_date] => 0
                                            [username_date_visible] => 0
                                            [email] => dnenni@semiwiki.com
                                            [custom_title] => Admin
                                            [language_id] => 1
                                            [style_id] => 0
                                            [timezone] => America/Los_Angeles
                                            [visible] => 1
                                            [activity_visible] => 1
                                            [user_group_id] => 3
                                            [secondary_group_ids] => 4,5,132
                                            [display_style_group_id] => 3
                                            [permission_combination_id] => 88
                                            [message_count] => 14297
                                            [question_solution_count] => 0
                                            [conversations_unread] => 0
                                            [register_date] => 1280720820
                                            [last_activity] => 1756511870
                                            [last_summary_email_date] => 1605968657
                                            [trophy_points] => 113
                                            [alerts_unviewed] => 37
                                            [alerts_unread] => 37
                                            [avatar_date] => 1663211649
                                            [avatar_width] => 110
                                            [avatar_height] => 107
                                            [avatar_highdpi] => 0
                                            [gravatar] => 
                                            [user_state] => valid
                                            [security_lock] => 
                                            [is_moderator] => 1
                                            [is_admin] => 1
                                            [is_banned] => 0
                                            [reaction_score] => 7741
                                            [warning_points] => 0
                                            [is_staff] => 1
                                            [secret_key] => 0HwyUVVHCwJotUUVEpvqAclfYJdGNPpw
                                            [privacy_policy_accepted] => 0
                                            [terms_accepted] => 0
                                            [vote_score] => 0
                                        )

                                    [_relations:protected] => Array
                                        (
                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                            [Forum] => XF\Entity\Forum Object
                                (
                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 50
                                    [rootClass:protected] => XF\Entity\Forum
                                    [_useReplaceInto:protected] => 
                                    [_newValues:protected] => Array
                                        (
                                        )

                                    [_values:protected] => Array
                                        (
                                            [node_id] => 2
                                            [discussion_count] => 7730
                                            [message_count] => 55360
                                            [last_post_id] => 91305
                                            [last_post_date] => 1756518733
                                            [last_post_user_id] => 332191
                                            [last_post_username] => samwilde
                                            [last_thread_id] => 23501
                                            [last_thread_title] => US makes it harder for SK Hynix, Samsung to make chips in China
                                            [last_thread_prefix_id] => 0
                                            [moderate_threads] => 0
                                            [moderate_replies] => 0
                                            [allow_posting] => 1
                                            [count_messages] => 1
                                            [find_new] => 1
                                            [allow_index] => allow
                                            [index_criteria] => 
                                            [field_cache] => []
                                            [prefix_cache] => []
                                            [prompt_cache] => []
                                            [default_prefix_id] => 0
                                            [default_sort_order] => last_post_date
                                            [default_sort_direction] => desc
                                            [list_date_limit_days] => 0
                                            [require_prefix] => 0
                                            [allowed_watch_notifications] => all
                                            [min_tags] => 0
                                            [forum_type_id] => discussion
                                            [type_config] => {"allowed_thread_types":["poll"]}
                                        )

                                    [_relations:protected] => Array
                                        (
                                            [Node] => XF\Entity\Node Object
                                                (
                                                    [_uniqueEntityId:XF\Mvc\Entity\Entity:private] => 49
                                                    [rootClass:protected] => XF\Entity\Node
                                                    [_useReplaceInto:protected] => 
                                                    [_newValues:protected] => Array
                                                        (
                                                        )

                                                    [_values:protected] => Array
                                                        (
                                                            [node_id] => 2
                                                            [title] => SemiWiki Main Forum ( Ask the Experts! )
                                                            [description] => Post your questions to the experts here!
                                                            [node_name] => 
                                                            [node_type_id] => Forum
                                                            [parent_node_id] => 1
                                                            [display_order] => 1
                                                            [display_in_list] => 1
                                                            [lft] => 2
                                                            [rgt] => 3
                                                            [depth] => 1
                                                            [style_id] => 0
                                                            [effective_style_id] => 0
                                                            [breadcrumb_data] => {"1":{"node_id":1,"title":"Main Category","depth":0,"lft":1,"node_name":null,"node_type_id":"Category","display_in_list":true}}
                                                            [navigation_id] => 
                                                            [effective_navigation_id] => 
                                                        )

                                                    [_relations:protected] => Array
                                                        (
                                                        )

                                                    [_previousValues:protected] => Array
                                                        (
                                                        )

                                                    [_options:protected] => Array
                                                        (
                                                        )

                                                    [_deleted:protected] => 
                                                    [_readOnly:protected] => 
                                                    [_writePending:protected] => 
                                                    [_writeRunning:protected] => 
                                                    [_errors:protected] => Array
                                                        (
                                                        )

                                                    [_whenSaveable:protected] => Array
                                                        (
                                                        )

                                                    [_cascadeSave:protected] => Array
                                                        (
                                                        )

                                                    [_behaviors:protected] => 
                                                )

                                        )

                                    [_previousValues:protected] => Array
                                        (
                                        )

                                    [_options:protected] => Array
                                        (
                                        )

                                    [_deleted:protected] => 
                                    [_readOnly:protected] => 
                                    [_writePending:protected] => 
                                    [_writeRunning:protected] => 
                                    [_errors:protected] => Array
                                        (
                                        )

                                    [_whenSaveable:protected] => Array
                                        (
                                        )

                                    [_cascadeSave:protected] => Array
                                        (
                                        )

                                    [_behaviors:protected] => 
                                )

                        )

                    [_previousValues:protected] => Array
                        (
                        )

                    [_options:protected] => Array
                        (
                        )

                    [_deleted:protected] => 
                    [_readOnly:protected] => 
                    [_writePending:protected] => 
                    [_writeRunning:protected] => 
                    [_errors:protected] => Array
                        (
                        )

                    [_whenSaveable:protected] => Array
                        (
                        )

                    [_cascadeSave:protected] => Array
                        (
                        )

                    [_behaviors:protected] => 
                )

        )

    [populated:protected] => 1
)

    Recent Forum Threads

  1. US makes it harder for SK Hynix, Samsung to make chips in China

    latest reply by samwilde on August 30, 2025
    started by Daniel Nenni on August 29, 2025
  2. Samsung Electronics and Intel explore a foundry alliance, joining forces on everything from packaging to glass substrates to catch up with TSMC.

    latest reply by blueone on August 30, 2025
    started by Daniel Nenni on August 28, 2025
  3. Intel at Deutsche Bank's 2025 Technology Conference

    latest reply by MKWVentures on August 29, 2025
    started by hist78 on August 29, 2025
  4. The Fall of Intel

    latest reply by blueone on August 29, 2025
    started by Fred Chen on August 24, 2025
  5. Tracking the Intel turnaround - watching the hiring patterns

    latest reply by MKWVentures on August 29, 2025
    started by tooLongInEDA on August 28, 2025
  6. Asianometry: Intel Should Second-Source Nvidia

    latest reply by Arthur Hanson on August 29, 2025
    started by Brady on August 25, 2025
  7. Gamers Nexus has a "movie" coming re: Nvidia GPU smuggling into China

    latest reply by tooLongInEDA on August 29, 2025
    started by Xebec on August 9, 2025
  8. Rapidus Announces Strategic Collaboration with Keysight To Improve Yield and Achieve High-Precision PDK For 2nm GAA Semiconductors

    latest reply by Paul2 on August 29, 2025
    started by Fred Chen on August 28, 2025
  9. Kaiser: Intel is Too Big to Fail

    latest reply by hist78 on August 29, 2025
    started by Daniel Nenni on August 28, 2025
  10. Qualcomm can dump Google

    latest reply by vslee on August 28, 2025
    started by Paul2 on August 26, 2025

Recent Article Comments

  • Can RISC-V Help Recast the DPU Race?

    Intel's IPU uses 16 Arm Neoverse N1 cores for embedded software, not x86 cores as implied by this post. "NVIDIA…
    — blueone on August 29, 2025
  • Should the US Government Invest in Intel?

    Two questions: 1. it is mentioned in the comments: "The NOT TSMC business is Intel’s for the taking and I…
    — kingmouf on August 28, 2025
  • Intel’s Pearl Harbor Moment

    Agreed. It is possible to have a start-up culture inside a large company. Let's call it the re-start-up culture. Lip-Bu…
    — Daniel Nenni on August 27, 2025
  • Intel’s Pearl Harbor Moment

    Intel needs a change in culture from rules driven monopoly to scrappy underdog. Science needs to regain precedence over MBA…
    — chip99monk on August 26, 2025
  • Moving Beyond RTL at #62DAC

    HLS has shown better and faster results than human hand-coded RTL, so this methodology from Rise DA is also promising…
    — Daniel Payne on August 25, 2025
  • Musk’s new job as Samsung Fab Manager – Can he disrupt chip making? Intel outside

    I have no faith in Musk. I have more faith in AI. Yes. There's no drive to develope it inside.
    — DanX on August 25, 2025
  • Should the US Government Invest in Intel?

    No. USG should not invest directly. It distorts the market. It's unfair to other investors. I can't believe that a…
    — DanX on August 25, 2025
  • Moving Beyond RTL at #62DAC

    Is there any testcase that AI is doing better than human in this area.
    — DanX on August 25, 2025

Copyright © 2025 SemiWiki.com. All rights reserved.
Legal / Sponsor Disclosure - About SemiWiki