EDA Open Source and Free Tools Wiki

Published by Daniel Payne on 04-21-2020 at 1:54 pm
Last updated on 02-14-2024 at 10:40 am

SYSTEM

PandA-bambu – framework for research in high-level synthesis and HW/SW co-design
QElectroTech – Electronic diagrams
SystemC – system design and modeling
Switchboard – framework for communication between distinct hardware models, such as RTL simulations, RTL on FPGAs and fast SW models.
WaveDrom – draws your Timing Diagram or Waveform from simple textual description

PCB

Electric – IC design with schematic capture, layout, routing, LVS, PCB layout
eSim – Circuit design, simulation, analysis, PCB design using KiCad, Ngspice, Verilator, Makerchip, GHDL and OpenModelica.
Fritzing – Schematic capture and PCB layout
gEDA – Schematic capture
gerber2ems – openEMS simulation based on Gerber files
Horizon EDA – Schematic and PCB layout
Icestudio – Visual editor for open FPGA boards.
KiCAD – PCB layout
KiCanvas – interactive, browser-based viewer for KiCAD schematics
KTechLab – Electronic and PIC microcontroller design
LibrePCB – PCB Layout
LTspice – SPICE simulation, schematic capture, waveform viewer, Analog Devices
openEMS – electromagnetic field solver using the FDTD method.
PCB – PCB layout
pcb-rnd – PCB layout
QSPICE – simulator, schematic capture and waveform viewer for RF and power circuits, free from Qorvo

IC

ALIGN – Analog IC layout synthesis
Alliance/Coriolis – VHDL compiler, simulator, logic synthesizer, automatic place and route
Amaranth – Python-based HDL toolchain
Animate – Virtuoso schematic users can quickly see an automated analog layout, freemium tool from Pulsic
CflexHDL – design digital circuits in C, simulate really fast with a regular compiler.
Chisel – Hardware compiler framework
cocotb – coroutine based co-simulation testbench environment for verifying
Covered – Verilog code coverage
VHDL and SystemVerilog using Python
CUGR – Global routing tool developed by CUHK
CVC – Circuit Validity Checker, for errors in CDL netlist.
Edalize – Python library for interfacing EDA tools (Icarus, Yosys, ModelSim, Vivado, Verilator, GHDL, Quartus)
Fault – Design for Test
FuseSoc – package manager and a set of build tools for HDL code.
Gaw – Gtk Analog Wave viewer
GDSfactory – Python library for GDS generation
GDSpy – Python module for creation and manipulation of GDS files
GHDL – G HDL, a VHDL analyzer, compiler, simulator and synthesizer
Glade – Gds, Lef And Def Editor – layout and schematic editor, DRC, extraction, LVS.
Gnucap – GNU Circuit Analysis Package
GtkWave – waveform plot tool for digital simulation
Icarus Verilog – Verilog simulator (free)
IIC-OSIC-TOOLS – Docker container of open-source IC tools
ipyxact – Python based IP-XACT parser
IRSIM – switch-level simulator
KLayout – Mask layout tool
LibrEDA – place and route
LiteX – Migen/MiSoC based Core/SoC builder
Magic – IC layout, extraction, DRC
Makerchip-app – Desktop connection to free online Makerchip IDE
Migen – Python toolbox for HDL design
MyHDL – Python as a hardware description and verification language
Netgen – Layout Versus Schematic (LVS) tool
NetlistSVG – draws SVG netlist from Yosys JSON netlist
nMigen – Python based HDL design
Ngspice – SPICE circuit simulation
NVC – VHDL simulator and compiler
Open_PDKs – PDK setup scripts
OpenLane – digital RTL2GDS flow
OpenRAM – Memory compiler development framework
OpenROAD – RTL to GDS in 24 hours, no human in the loop
OpenSTA – Static Timing Analyzer
OpenVAF – Verilog-A compiler
Oregano – schematic capture and SPICE circuit simulation
OSVVM – A VHDL verification framework, utility library, verification component library, and a simulator independent scripting flow
Padring – padring generation tool
PeakRDL – control and status register (CSR) toolchain.
PipelineC – HLS using C
PyCell Studio – create PyCells with Python and OpenAccess
PyRTL – collection of classes for Pythonic RTL design
PySlint – Python based SystemVerilog linter for testbenches, UVM, DPI and SVA.
PySpice – interface Ngspice and Xyce from Python
PyVerilog – Python toolkit for Verilog
Qrouter – multi-level, over-the-cell maze router
Qucs – Quite Universal Circuit Simulator
RePlAce – global placement tool
Revolution EDA – Symbol and schematic editor, layout editor, integration with Xyce for circuit simulation
RgGen – code generation tool for configuration and status registers
Risc-v toolchain – GNU compiler toolchain for RISC-V RV32! cores
SandPiper-SaaS – CLI connection to free (though proprietary) SandPiper™ microservice for TL-Verilog compilation.
SiliconCompiler – modular build system for hardware
sky130 – SkyWater Technologies 130nm CMOS PDK
SPEF-Extractor – A Python library that reads LEF and DEF files, extract RC parasitics then create a SPEF file
SpinalHDL – HDL that creates VHDL or Verilog
Spyci – analyze or plot ngspice or xyce output data with Python
Surfer – an extensible and snappy waveform viewer
SystemRDL – generic compiler front-end for Accellera’s SystemRDL 2.0 Register Description Language.
Tbengy – Python tool for SV/UVM testbench generation and RTL synthesis, uses Vivado and Digilent FPGA boards
v2k-top – Verilog-AMS parser/simulation framework
Verilator – Verilog simulator
Vlog2Verilog – Verilog file conversion
WRspice – Circuit simulator.
XCircuit – Schematic capture for SPICE netlists and PostScript
Xschem – Schematic capture and netlisting: VHDL, Verilog, SPICE.
Xic – Schematic capture and IC layout editor.
XLS – XLS implements a High Level Synthesis (HLS) toolchain which produces synthesizable designs (Verilog and SystemVerilog) from flexible, high-level descriptions of functionality.
Xyce – Parallel analog circuit simulator from Sandia National Laboratories
Yosys – Verilog RTL synthesis

FPGA

CflexHDL – Design digital circuits in C, simulate really fast with a regular compiler. Flow from C -> Silice -> Verilog -> Migen/LiteX -> Bitstream
FOEDAG – Framework Open EDA Gui
fpga-bitstream – Generate a generica or fabric dependent bitstream
nextpnr – FPGA place and route
OpenFPGA – framework that enables rapid prototyping of customizable FPGA architectures

Project IceStorm – Lattice bitstream format documentation
Qflow – digital synthesis flow using Verilog or VHDL, targets Xilinx or Altera
Raptor – commercial FPGA flow for FPGA design, RapidSilicon
SymbiFlow – FPGA framework for tools, Verilog to bitstream
Share this post via:

Comments

16 Replies to “EDA Open Source and Free Tools Wiki”

You must register or log in to view/post comments.