WP_Term Object
(
    [term_id] => 31
    [name] => GlobalFoundries
    [slug] => globalfoundries
    [term_group] => 0
    [term_taxonomy_id] => 31
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 240
    [filter] => raw
)
            
GlobalFoundries Logo 2021
WP_Term Object
(
    [term_id] => 31
    [name] => GlobalFoundries
    [slug] => globalfoundries
    [term_group] => 0
    [term_taxonomy_id] => 31
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 240
    [filter] => raw
)

GLOBALFOUNDRIES Wiki

Published by Daniel Nenni on 01-11-2020 at 5:21 pm
Last updated on 07-17-2020 at 1:25 pm

GLOBALFOUNDRIES is the newest pure-play foundry in the industry. In this wiki GLOBALFOUNDRIES describes its history, mission, and future directions.

The fabless semiconductor model, first implemented in the early 1980s when IDMs figured out there was money to be made in selling excess manufacturing capacity to small chip design companies, has been an unqualified success in delivering innovation and efficiency to the electronics industry. The emergence of ‘pure-play’ foundries in the mid-1980s enhanced the model further still, and has enabled the success of some of the most recognized and groundbreaking names in the semiconductor industry—firms like Qualcomm, Broadcom, Marvel, Xilinx and a host of others, not to mention forward-thinking product makers like Apple and Microsoft.

Indeed, the days of “Real men own fabs” seem like a distant memory in an era when a new manufacturing plant can cost more than $5 billion, process technology is approaching sub 10 nm levels, and market windows are measured in weeks not years.

As with all dynamic markets and business models, change is a constant in how ICs are fabricated. While it’s fair to say that after 30 years, the foundry model has withstood the test of time, it must evolve if it is to meet the never-ending technology and economic challenges of the semiconductor industry. The fact that mobile products surpassed PCs as the largest consumer of semiconductors for the first time in 2012 underscores the macro changes that are reshaping the landscape of electronics and forcing a re-thinking of the supply chain. Add in seemingly inconceivable technology drivers and unfathomable price tags, and it’s clear that those who can’t adapt to change in the semiconductor manufacturing world are doomed.

It was against this backdrop that some visionaries dreamed of taking a new approach to the foundry model as the first decade of the 21st Century neared an end. After all, the foundry business itself hadn’t really changed that much since its inception nearly 30 years prior, even if the pace of technology evolution had maintained its steady march forward, driven by the unceasing pace of Moore’s Law. So the reasoning was that there needed to be some more significant enhancements of the model to better deal with the challenges at hand. The industry needed a revamp, an upgrade, a new release, and most importantly, a more global orientation—it needed Foundry 2.0. GLOBALFOUNDRIES embodied the vision when it was launched in March 2009.

Ironically, a cornerstone of the strategy centered on the very model that foundries originally disaggregated: the integrated device manufacturer (IDM). The founders of GLOBALFOUNDRIES recognized that there needed to be a tighter connection between the design process —right from the beginning at the architectural level—and the implementation in manufacturing. The ‘throw it over the wall’ method of Foundry 1.0 was breaking, and closer collaboration was viewed as the only way to deal with the current challenges.

So it should be no surprise that a key aspect of the GLOBALFOUNDRIES legacy can be traced back to one of the world’s leading IDMs. In October 2008, AMD announced a new strategy to focus exclusively on the design phase of semiconductor product development. To achieve that strategy, AMD partnered with Advanced Technology Investment Company (ATIC) of Abu Dhabi to create a new joint venture company designed to become the world’s first truly global foundry.

2009: The Birth of Foundry 2.0

On March 4, 2009, GLOBALFOUNDRIES officially launched as a new joint venture, coupling AMD’s leading-edge semiconductor manufacturing capabilities with the financial focus of ATIC, creating a new global semiconductor manufacturing foundry with approximately 3,000 employees. This formally entered GLOBALFOUNDRIES in the foundry business and armed it out of the gates with a production-proven fab campus based in Dresden, Germany—and years of seasoned experience in semiconductor design and manufacturing. AMD became its first customer.

Success with customers beyond AMD soon followed. Through the course of 2009, the company announced several new customers and new strategic partnerships, including ARM, STMicroelectronics, and Qualcomm.

In June of that same year, GLOBALFOUNDRIES took the first step in what was to become a defining element of its strategy. It was then that the company broke ground on the Fab 8 campus, the company’s newest 300 mm fab in Saratoga County, New York. It was to be rightly heralded as the most advanced semiconductor manufacturing facility ever constructed.

The Chartered Acquisition

In January 2010, the company announced the completion of its merger with Chartered Semiconductor, a global semiconductor foundry company based in Singapore. At the time, Chartered consisted of about 7,000 employees, mostly based at the company’s six fabs in Singapore. The addition of Chartered added more than 150 customers to the company’s portfolio, afforded world-class production capabilities in both mainstream and leading-edge technologies and allowed the company to offer a new platform for innovation to drive the current and future generations of semiconductor products for customers around the globe.

Overnight, GLOBALFOUNDRIES had become one of the world’s top 3 foundries and the industry couldn’t help but take notice. The addition of Chartered added proven experience in the workings of the foundry model, complementing the IDM legacy from the company’s roots in AMD. In addition, Chartered was skilled at the partnership model and GLOBALFOUNDRIES found itself with a seat at the table of the ground-breaking Common Platform Alliance, which included IBM and Samsung in an initiative that defined new levels of collaboration among chip manufacturers and customers. The Chartered acquisition also brought with it much-needed capacity and a gateway into more application areas. The Singapore operations would continue to play a major role in the company’s strategy.

By 2011, GLOBALFOUNDRIES was hitting full stride, continuing to add customers and reaching significant manufacturing milestones as AMD’s 32 nm processor shipments increased by more than 80% from the third quarter to the fourth quarter. In fact, GLOBALFOUNDRIES exited 2011 as the only foundry to have shipped in the hundreds of thousands of 32 nm high-K metal gate (HKMG) wafers.

New Leadership for a New Era

With the initial growing pains behind it, the company now was squarely focused on growth and implementing its vision. To that end, Ajit Manocha was named CEO of the company in late 2011. A skilled leader, he brought more than 30 years of experience in the semiconductor industry, having held senior positions at Spansion, NXP, and AT&T Microelectronics. Manocha was a safe pair of hands to bring the company to the next stage. Manocha understood the value of partnerships and collaboration well and quickly wove that philosophy deeper into the fabric of the company.

Collaborative Device Manufacturing (CDM) became the new mantra for the model GLOBALFOUNDRIES espoused, under the name Foundry 2.0, and brand name customers and partners from around the ecosystem embraced it. In January 2014, Sanjay Jha was appointed CEO and Manocha returned to his role advising the owners of GLOBALFOUNDRIES. Jha’s background was in mobile, with a long tenure at Qualcomm and a period as CEO of Motorola Mobility.

Mobile is, of course, the largest market for semiconductors today and continues to grow fast.

Global Leadership at the Leading Edge

Unique to the foundry industry, GLOBALFOUNDRIES operates a global network of advanced manufacturing and technology capabilities, anchored by 300 mm and 200 mm facilities in Singapore, Germany, and the company’s newest campus in Saratoga County, New York. Periodic benchmarking conducted by third parties consistently places GLOBALFOUNDRIES as a leader worldwide in the major categories for fab performance. This advanced network of manufacturing campuses and global research partnerships provides the company the ability to introduce technologies with greater process maturity than is typical of the foundry industry, enabling the fastest volume ramps in the industry.

Fab 1: Dresden

The Dresden manufacturing site is recognized throughout the industry as among the most successful leading-edge semiconductor production facilities in the world. Fab 1 represents one of the biggest international investments in Germany with a total investment to date of more than $7 billion, and about 3,000 world-class engineers, technicians, and specialists.

Fab 7: Singapore

GLOBALFOUNDRIES has two manufacturing campuses in Singapore with four 200 mm wafer fabrication plants (Fab 2, 3/5, 6) and one 300 mm wafer fabrication plant (Fab 7) located in Woodlands and another 200 mm manufacturing facility (Fab 3E) in Tampines.

The Singapore site is embarking upon a long-term strategic plan to focus on upgrading the manufacturing facilities to address fast-growing “More Than Moore” technology areas such as MEMS, RF and analog/ mixed signal with technology nodes spanning from 180 nm to 40 nm.

Fab 8: New York

For more than two decades, the focus of the semiconductor foundry industry has increasingly turned to Asia for growth and the development of new manufacturing facilities. Counter to this prevailing trend, the Fab 8 project is the first leading-edge semiconductor foundry to be built in the U.S. and one of the largest new manufacturing projects in the world. The project is a key driver in the revitalization of upstate New York’s “Tech Valley” and a prime example of how advanced manufacturing can help boost the American economy. Building on a history of award-winning manufacturing facilities, GLOBALFOUNDRIES is developing the world’s most advanced semiconductor wafer fab at the Luther Forest Technology Campus in upstate New York.

Less than 3 years since its formation, the company’s multi-billion dollar investment in upstate New York and its extensive network of partnerships in that region began to bear fruit in 2012. In January of that year, GLOBALFOUNDRIES started running first customer silicon at Fab 8 with IBM’s 32 nm SOI technology. This technology was jointly developed between GLOBALFOUNDRIES and other members of IBM’s Process Development Alliance, including early-stage research at the University of Albany, State University of New York’s College of Nanoscale Science and Engineering.

In July 2012, GLOBALFOUNDRIES announced an extension of 90,000 square feet to the Fab 8 Module 1 cleanroom in response to strong customer demand at the 28 nm node. The extension of Fab 8 increased the cleanroom to approximately 300,000 square feet, roughly equivalent to six football fields of state-of-the-art semiconductor wafer manufacturing space. Construction work on the Fab 8 Module 1 extension project began in September and is expected to be completed in December 2013.

Technology innovation, through partnerships and extensive investment in R&D, continues to make GLOBALFOUNDRIES a force to be reckoned with. The company has established itself among the industry’s elite, aggressively laying out a roadmap to 10 nm and beyond. It delivered on its promises with the announcement of the industry’s first modular 14 nm offering, a breakthrough FinFET approach specifically aimed at the burgeoning opportunities in mobile application markets. This was a reflection of an acceleration of its leading-edge roadmap to give customers the performance and power benefits of three-dimensional FinFET transistors with less risk and faster time-to-market.

In addition, GLOBALFOUNDRIES began ramping its 20 nm technology in 2012, and saw significant adoption and yield improvements for its 28 nm offerings. By year end, it was clear the company no longer would take a back seat to anyone when it comes to technology.

The Emergence of a True Market Leader

By mid-2012, GLOBALFOUNDRIES had surpassed its nearest competitor and was firmly established as the world’s second largest foundry in the industry rankings. The company was buoyed by the continued growth of the foundry market in general, and its unique application of the model was winning new customers at an impressive rate.

An IC Insights report in 2012 was especially significant for GLOBALFOUNDRIES as the company jumped six spots to break into the top 20 IC companies for the first time, and IC Insights projects its revenue to grow 31% over 2011, making GLOBALFOUNDRIES the fastest growing semiconductor company in the world. The firm sang the praises of GLOBALFOUNDRIES approach, noting, “It is obvious that GLOBALFOUNDRIES’ current spike in revenue is being driven mostly by its success in attracting new IC foundry customers.”

Focus on Collaborative Technology Development

In January 2013, GLOBALFOUNDRIES announced a new global R&D facility at its Fab 8 campus. The new Technology Development Center (TDC) Technology Development Center will play a key role in the company’s strategy to develop innovative semiconductor solutions allowing customers to compete at the leading edge of technology.

The TDC will house a variety of semiconductor development and manufacturing spaces to support the transition to new technology nodes, as well as the development of innovative capabilities to deliver value to customers beyond the traditional approach of shrinking transistors. The overarching goal of the TDC is to provide a collaborative space for GLOBALFOUNDRIES to develop end-to-end solutions covering the full spectrum of silicon technology, from new interconnect and packaging technologies that enable three-dimensional (3D) stacking of chips to leading-edge photomasks for Extreme Ultraviolet (EUV) lithography and everything in between.

The TDC represents an additional investment of nearly $2 billion, increasing the total capital investment for the Fab 8 campus to approximately $8 billion. Construction of the TDC began in early 2013 and is expected to be completed in late 2014.

With the addition of Fab 8, GLOBALFOUNDRIES now operates three 300 mm wafer fabs around the world with campuses in Germany, Singapore and New York offering customers leading-edge volume manufacturing capabilities at the 32 nm and 28 nm process nodes and technology development at 20 nm, 14 nm and beyond. In addition, GLOBALFOUNDRIES also operates five 200 mm wafer fabs in Singapore, offering global customers a broad spectrum of manufacturing technology options.

Foundry 2.0 Today and for the Future

Most industry watchers have confirmed that GLOBALFOUNDRIES is a first-of-its-kind global foundry model, bringing a unique approach to leveraging assets from around the world to best meet the needs of the global marketplace. Since its inception the company has made substantial capital investments to build a truly global footprint, with manufacturing operations spanning three continents for flexible and secure supply. Today it employs more than 13,000 people worldwide, and with manufacturing centers in Germany, the United States and Singapore, GLOBALFOUNDRIES is delivering advanced technologies to market in high volume and mature yield faster than any other foundry in the world. This global manufacturing footprint is supported by major facilities for research, development, and design enablement located across the U.S., Europe, and Asia, with offices in Abu Dhabi and corporate offices in Silicon Valley. The collective strength of these operations is unprecedented for a semiconductor foundry and unparalleled in the industry.

A final, perhaps symbolic, milestone was reached in 2013 when AMD completed its divestiture of the remaining 14% stake it had in GLOBALFOUNDRIES. The transition from IDM to foundry was now complete. Just four short years from its founding, GLOBALFOUNDRIES is wholly owned by ATIC and is firmly entrenched as the world’s second largest independent semiconductor foundry that has written an entirely new chapter in the history of an industry.

2019 Update: GLOBALFOUNDRIES

The GLOBALFOUNDRIES story has been one of the more interesting ones inside the fabless semiconductor ecosystem. It started in 2008 when AMD announced a partnership with ATIC of Abu Dhabi to create a new joint venture company and become the world’s first truly global semiconductor foundry. On March 4th of 2009 GLOBALFOUNDRIES was launched and the rest as they say is history. It has been an exciting story to cover, absolutely.

GF had a rough start due in part to a shift in the foundry landscape. TSMC made a series of technology changes that made it difficult for others to follow. It all started at 28 nm. While most foundries chose the gate-first implementation TSMC chose gate-last. As it turned out the gate-first implementation did not yield properly which gave TSMC their largest process node lead ever. UMC and SMIC ended up changing to gate-last to copy TSMC and get second-source manufacturing market share but Samsung and GF stayed with gate-first. Then came FinFETs which made following TSMC for second source business impossible. Samsung did a very nice job with 14 nm which resulted in a 50/50 split market share with TSMC 16 nm but TSMC quickly came back with 10 nm and 7 nm and is now in a dominant FinFET foundry  position.

This caught GF in between two fierce competitors (TSMC and Samsung) which is an impossible place to be in the foundry business, even for a chip giant like Intel. The end came in 2018 when both Intel and GF decided to step aside and let TSMC and Samsung battle for the leading-edge foundry business. The GF pivot is still in process and it does include asset sales. Here is the original press release:

GLOBALFOUNDRIES    Reshapes     Technology     Portfolio     to Intensify Focus on Growing Demand for Differentiated Offerings

Semiconductor manufacturer realigns leading-edge roadmap to meet client need and establishes wholly owned subsidiary to design custom ASICs

Santa Clara, Calif., August 27, 2018 – GLOBALFOUNDRIES today announced an important step in its transformation, continuing the trajectory launched with the appointment of Tom Caulfield as CEO earlier this year. In line with the strategic direction Caulfield has articulated, GF is reshaping its technology portfolio to intensify its focus on delivering truly differentiated offerings for clients in high-growth markets.

GF is realigning its leading-edge FinFET roadmap to serve the next wave of clients that will adopt the technology in the coming years. The company will shift development resources to make its 14/12 nm FinFET platform more relevant to these clients, delivering a range of innovative IP and features including RF, embedded memory, low power and more. To support this transition, GF is putting its 7 nm FinFET program on hold indefinitely and restructuring its research and development teams to support its enhanced portfolio initiatives. This will require a workforce reduction; however a significant number of top technologists will be redeployed on 14/12 nm FinFET derivatives and other differentiated offerings.

“Demand for semiconductors has never been higher, and clients are asking us to play an ever-increasing role in enabling tomorrow’s technology innovations,” Caulfield said. “The vast majority of today’s fabless customers are looking to get more value out of each technology generation to leverage the substantial investments required to design into each technology node. Essentially, these nodes are transitioning to design platforms serving multiple waves of applications, giving each node greater longevity. This industry dynamic has resulted in fewer fabless clients designing into the outer limits of Moore’s Law. We are shifting our resources and focus by doubling down on our investments in differentiated technologies across our entire portfolio that are most relevant to our clients in growing market segments.”

In addition, to better leverage GF’s strong heritage and significant investments in ASIC design and IP, the company is establishing its ASIC business as a wholly-owned subsidiary, independent from the foundry business. A relevant ASIC business requires continued access to leading-edge technology. This independent ASIC entity will provide clients with access to alternative foundry options at 7 nm and beyond, while allowing the ASIC business to engage with a broader set of clients, especially the growing number of systems companies that need ASIC capabilities and more manufacturing scale than GF can provide alone.

GF is intensifying investment in areas where it has clear differentiation and adds true value for clients, with an emphasis on delivering feature-rich offerings across its portfolio. This includes a continued focus on its FDXTM platform, leading RF offerings (including RF SOI and high-performance SiGe), analog/mixed-signal, and other technologies designed for a growing number of applications that require low power, real-time connectivity, and on-board intelligence. GF is uniquely positioned to serve this burgeoning market for “connected intelligence,” with strong demand in new areas such as autonomous driving, IoT and the global transition to 5G.

“Lifting the burden of investing at the leading edge will allow GF to make more targeted investments in technologies that really matter to the majority of chip designers in fast-growing markets such as RF, IoT, 5G, industrial and automotive,” said Samuel Wang, research vice president at Gartner. “While the leading edge gets most of the headlines, fewer customers can afford the transition to 7 nm and finer geometries. 14 nm and above technologies will continue to be the important demand driver for the foundry business for many years to come. There is significant room for innovation on these nodes to fuel the next wave of technology.”

About GF

GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, development, and fabrication services to some of the world’s most inspired technology companies. With a global manufacturing footprint spanning three continents, GLOBALFOUNDRIES makes possible the technologies and systems that transform industries and give clients the power to shape their markets. GLOBALFOUNDRIES is owned by Mubadala Investment Company. For more information, visit www.globalfoundries.com.

If you look at GF there are five different semiconductor business units: Singapore fabs, Dresden fabs, the Malta fab, IBM fabs, and the new fab in China.

One of the Singapore fabs (MEMs Fab 3e) has been sold to VIS in Taiwan (January 2019). TSMC is a major shareholder in VIS and already one of the top MEMs manufacturers. The other Singapore fabs are rumored to be up for sale as well.

The Malta fab has NY State funding and is currently running Samsung 14 nm technology so Samsung is a strong acquisition candidate. Samsung already has a fab in Austin, Texas but adding another fab in NY would not be a bad thing for US foundry customers. It is also possible for GF to migrate Malta to FD-SOI when extra capacity is needed.

The Dresden fabs are probably the most desirable since they are leading edge FD-SOI but again government funding is involved. If the German government were forward-looking, they would take an active role in their semiconductor future and embrace GF Dresden. Even so, Dresden seems to be the jewel in the GF fab crown moving forward especially now that GF has reportedly moved advanced mask making tools from Vermont to Dresden. The China fab in Chengdu is also FD SOI so I would put it right next to Dresden in the crown jewels.

Last but not least, the IBM fabs (Essex Junction and Fishkill) which were part of the acquisition of IBM Microelectronics in July of 2015.

The Fishkill fab was sold to ON Semiconductor for $430 million in April of 2019. The Essex Junction fab may be more difficult to sell due to the age of the facility which was originally built in 1958. The Mask shop that was part of Essex Junction fab was moved to Dresden in February of 2019.

Here is a more detailed description of the GF Pivot by Scott Jones of IC Knowledge as published on www.semiwiki.com:

GLOBALFOUNDRIES Pivot Explained

GLOBALFOUNDRIES (GF) recently announced they were abandoning 7 nm and focusing on “differentiated” foundry offerings in a move our own Dan Nenni described as a “pivot”, a description GF appears to have embraced. Last week GF held their annual Technology Conference and we got to hear more about the pivot from new CEO Tom Caulfield including why GF abandoned 7 nm and what their new focus is.

Background

GF was created in 2008 in a spin-out of the fabs formerly owned by AMD. In 2010 GF acquired Chartered Semiconductor, the number three foundry in the world at that time and in 2015 GF acquired IBM’s microelectronics business. Figure 1 illustrates the key milestones in GF’s history.

GLOBAL Foundries Milestones Wiki

Figure 1. GLOBALFOUNDRIES Milestones

GF is owned by Mubadala Development Company (MDC). MDC financials include the technology segment made up of GF. Based on Mubadala financial disclosures, from 2016 to 2017 GF grew revenues by 12.4% and saw their operating loss widen from 8.0% of revenue in 2016 to 27.2% of revenue in 2017 calling into question the sustainability of GF’s business model.

On March 9th, 2018 Tom Caulfield became the new CEO of GF with a mandate to build a sustainable business model.

7 nm History

In the early 2010s GF was developing their own 14 nm process technology but realizing they were falling behind their competitors ultimately abandoned their in-house development and licensed 14 nm from Samsung. The licensed 14 nm process was launched in 2014 in Fab 8 (see figure 1). GF has continued to improve on that process adding process options and more recently launching a shrunk 12 nm version. The 14 nm and newer 12 nm version have been utilized by AMD for microprocessors and graphics processors, by GF for their FX-14 ASIC platform and by other customers.

With the IBM Microelectronics acquisition in 2015, GF received a significant infusion of researchers including Gary Patton who became the CTO of GF. Beginning around 2016, the combined GF and IBM research teams started to develop their own in-house 7 nm technology. The initial version was planned to be based on optical exposures with GF also planning an EUV based follow-on version.

By all account’s development was proceeding well. In a July 2017 SemiWiki exclusive, GF disclosed their key 7 nm process density metrics and at IEDM in December 2017 GF disclosed additional process details. One concern I have had about GF 7 nm for a long time is scale. GF was reportedly installing only 15,000 wafers per month (wpm) of 7 nm capacity. The average 300 mm foundry fab had 34,213 wpm capacity at the end of 2017 and are projected to reach over 40,343 wpm by the end of 2020, and 43,584 wpm by the end of 2025 [1]. Newer leading-edge fabs are even larger and are what is driving up the average. At the leading-edge, wafer cost is roughly 60% depreciation and larger fabs have better equipment capacity matching and therefore higher capital efficiency and lower costs. Figure 2 illustrates the wafer cost versus fab capacity for a wafer fab in the United States running a 7 nm process calculated using the IC Knowledge – Strategic Cost and Price Model – 2018 – revision 03 for a greenfield fab.

Wafer Cost Versus Fab Capacity for 7 nm Fab in the United States

Figure 2. Wafer Cost Versus Fab Capacity for 7 nm Fab in the United States

Even though 15,000 wpm is past the steepest part of the curve there is still several hundred dollars in cost per wafer advantage for larger capacity wafer fabs.

Tom Caulfield also mentioned GF needed $3 billion dollars of additional capital to get to 12,000 wpm and they could only fund half of it through cash flow, they would have to borrow the other half and the projected return wasn’t good.

Customer Inputs

When Tom took over as CEO he went out on the road and visited GF’s customers. What he found was a lack of commitment to GF’s 7 nm process in the customer base. Many customers were never going to go to 7 nm and of the customers who were, GF wouldn’t have enough capacity to meet their demands. There was also concern in the customer base that 7 nm would take up all the R&D and capital budgets and starve the other processes they wanted to use of investment.

What Did GF Give Up?

By exiting the 7 nm and smaller wafer market GF has given up some opportunity. Figure 3 illustrates the total available market (TAM) for foundry wafers in 2018 and 2022. Even in 2022 the forecast is for 7 nm to be less than 25% of the market and the TAM for >=12 nm to increase from $56 billion dollars in 2018 to $65 billion dollars in 2022.

Foundry Market Wiki

Figure 3. Foundry market

In terms of specific markets, GF is conceding some of the computing, graphics processing and data center opportunity. Currently AMD is GF’s largest customer and long term that business will presumably shrink as AMD moves to smaller geometries.

What Now?

GF will be focused on four major “differentiated, feature-rich” offerings going forward.

FinFET – GF will continue to offer 14 nm and 12 nm FinFET based processes and they are continuing to add to these offerings with RF and analog capabilities, improved performance (10-15%) and density (15%), embedded memory options, enhanced MIM capacitors and advanced packaging options.

RF – this is a segment where GF has a clear leadership position.. With the pivot away from 7 nm, GF is increasing investment in this segment with more capacity. At the Technology Conference GF said, “If you think RF, think GF” and I agree that is an apt slogan.

FDSOI – GF’s FDX processes with 22FDX currently and 12FDX are the industry leader in the emerging FDSOI space as I discussed in another recent article available here. FDSOI shows great potential in the IOT and Automotive markets. If FDSOI really takes off this could be a huge win for GF and they have already announced $2 billion dollars of design wins for the 22FDX process.

Power/AMS (Power. Analog and Mixed Signal) – this segment combines Bipolar/CMOS/DMOS (BCD), RF, mmWave, embedded non-volatile memory and Micro-Electro-Mechanical-Systems (MEMS) for the consumer space such as high-speed touch interfaces.

Conclusion

GFs’s pivot away from 7 nm has aligned the companies R&D and capital spending more closely with their customer’s needs. Whether GF can build a sustainable business model on the four business segments they are now focused on remains to be seen but more closely aligning your companies focus with your customers’ needs certainly appears to be a step in the right direction.

Reference:

Fabless: The Transformation of the Semiconductor Industry 2019 Edition

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.