WP_Term Object
(
    [term_id] => 24
    [name] => TSMC
    [slug] => tsmc
    [term_group] => 0
    [term_taxonomy_id] => 24
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 563
    [filter] => raw
    [cat_ID] => 24
    [category_count] => 563
    [category_description] => 
    [cat_name] => TSMC
    [category_nicename] => tsmc
    [category_parent] => 158
    [is_post] => 
)
            
TSMC Banner 2023
WP_Term Object
(
    [term_id] => 24
    [name] => TSMC
    [slug] => tsmc
    [term_group] => 0
    [term_taxonomy_id] => 24
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 563
    [filter] => raw
    [cat_ID] => 24
    [category_count] => 563
    [category_description] => 
    [cat_name] => TSMC
    [category_nicename] => tsmc
    [category_parent] => 158
    [is_post] => 
)

GDS II Online for TSMC

GDS II Online for TSMC
by Paul McLellan on 06-12-2014 at 4:00 pm

I just watched an interesting video of a demonstration at DAC of the eSilicon GDS II online quote for TSMC. Actually, it wasn’t so much as a demonstration as an interactive use of the quote tool using data supplied by a member of the audience.

The quote system works for TSMC processes from 28nm up to 350nm. The design the audience… Read More


TSMC vs Intel vs Samsung FinFETs

TSMC vs Intel vs Samsung FinFETs
by Daniel Nenni on 06-08-2014 at 10:50 am

By definition the pure-play foundry business model separates the design and manufacturing of a semiconductor device. TSMC was the first dedicated (pure-play) foundry which enabled the incredible fabless semiconductor ecosystem we have today. If not for the fabless business model we would not have the supercomputer class … Read More


TSMC: Keynote, OIP, 20nm, 16nm, panels, and more #51DAC

TSMC: Keynote, OIP, 20nm, 16nm, panels, and more #51DAC
by Paul McLellan on 05-28-2014 at 8:11 pm

What is TSMC doing at DAC?

The biggest event is presumably Cliff Hou’s DAC keynote on Monday at 3.25pm Industry Opportunities in the Sub-10nm Era. And he also wrote the foreword to Fabless, the book that Dan Nenni and I have written and where you can get a signed copy on Tuesday evening at the reception.

There is an IP workshop … Read More


Motley Fools Intel Investors Again!

Motley Fools Intel Investors Again!
by Daniel Nenni on 05-22-2014 at 12:00 pm

It really is quite a racket. Investor bloggers spread semiconductor disinformation for $.01 per click, that coincidentally covers their stock positions, and I get paid $300 per hour to explain it to Wall Street. While I appreciate the opportunity to bond with the financial people, I do wonder how these bloggers sleep at night.… Read More


TSMC Updates: 20nm, 16nm, and 10nm!

TSMC Updates: 20nm, 16nm, and 10nm!
by Daniel Nenni on 05-05-2014 at 2:30 pm

*Spoiler Alert: The Sky is Not Falling*
The TSMC Technology Symposium last month provided a much needed technology refresh to counter aging industry experts (they make their living selling reports) who have been somewhat negative on the future of the fabless semiconductor ecosystem. If the sky wasn’t falling who would… Read More


TSMC Will Own the Internet of Things!

TSMC Will Own the Internet of Things!
by Daniel Nenni on 04-27-2014 at 8:00 am

In my quest to uncover the future of the semiconductor industry I was quite impressed by the executive presentations at the TSMC Symposium last week. Rick Cassidy opened the 20[SUP]th[/SUP] Annual TSMC Technology Symposium followed by Dr. Mark Liu, Dr. Jack Sun, Dr. Cliff Hou, J.K Wang, Dr. V.J. Wu, and Suk Lee. A variety of topics… Read More


Dr. Morris Chang: A Conversation with the Chairman

Dr. Morris Chang: A Conversation with the Chairman
by Daniel Nenni on 04-24-2014 at 10:00 pm

There are moments in one’s career that are memorable beyond others, and last night was one of those moments for me, absolutely:

Stanford University President John L. Hennessy will lead a discussion with Stanford Engineering Hero Morris Chang, an innovator and entrepreneur who revolutionized the semiconductor industry by creatingRead More


U2U: Things You Might Not Know About TSMC

U2U: Things You Might Not Know About TSMC
by Paul McLellan on 04-10-2014 at 10:50 pm

At Mentor’s U2U this afternoon I attended a presentation on TSMC’s use of Calibre PERC (it is a programmable electrical rule checker) for qualification of IP in TSMC’s IP9000 program. I’ve written about this before here. Basically IP providers at N20SOC, N16FF, and below are required to use PERC to guarantee… Read More


What is Next for GLOBALFOUNDRIES?

What is Next for GLOBALFOUNDRIES?
by Daniel Nenni on 04-04-2014 at 8:30 am

In response to changing industry dynamics, AMD announced in October 2008 a new strategy to focus exclusively on the design phase of semiconductor product development. To achieve that strategy, AMD partnered with Advanced Technology Investment Company (ATIC) of Abu Dhabi to create a new joint venture company designed to become… Read More


FinFET Custom Design

FinFET Custom Design
by Paul McLellan on 04-02-2014 at 8:30 pm

At CDNLive, Bob Mullen of TSMC gave a presentation on their new custom FinFET flow, doing design, and verifying designs. At 16nm there are all sorts of relatively new verification problems such as layout dependent effects (LDE) and voltage dependent design rules. We had some of this at 20nm but like most things in semiconductor,… Read More