hip webinar automating integration workflow 800x100 (1)

Wipe that smile off your device

Wipe that smile off your device
by Don Dingee on 07-30-2014 at 8:00 am

Privacy is a tough enough question when using a device – but what about when we’re done with it? In a world of two year service agreements with device upgrades and things being attached to long-life property like cars and homes, your data could fall into the hands of the next owner way too easily.

“Oh, it’s OK, I wiped the phone with a factory… Read More


How to Trim Automotive Sensor?

How to Trim Automotive Sensor?
by Eric Esteve on 07-30-2014 at 5:21 am

The electronic content in automotive is exploding, the market for automotive electronics systems is expected to grow from $170 billion in 2011 to $266 billion by 2016 (Strategy Analytics). When you seat in a brand new car, you immediately see the difference with a ten or even five years old vehicle, as you can exercise MP3 music readers,… Read More


High Tech Headwinds and Project/People Management

High Tech Headwinds and Project/People Management
by Peter Gasperini on 07-30-2014 at 4:00 am

In previous posts, we discussed the growing set of challenges and threats faced by the semiconductor industry. From saturating & stagnant systems markets to the gears starting to seize up in that engine of growth we’ve been calling Moore’s Law, chip revenues are – with the exception of memory price boosts from supply… Read More


Enterprise IP Management – A Whole New Gamut in Semiconductor Space

Enterprise IP Management – A Whole New Gamut in Semiconductor Space
by Pawan Fangaria on 07-29-2014 at 8:00 pm

The world of IPs in the semiconductor landscape has completely changed the semiconductor design scenario, specifically the fabless design space. Today IPs are key components of any large semiconductor design, in the same way as auto ancillaries in auto design. It’s just the beginning, in the days to come we will see SoCs just as… Read More


Realibrium.com: EDA for Real-estate

Realibrium.com: EDA for Real-estate
by Paul McLellan on 07-29-2014 at 10:01 am

Everyone in EDA is really smart. People who leave EDA and go and work in other industries, especially people who left in the late 1990s for internet startups, notice that this is not true elsewhere. Not that there aren’t smart people in internet startups, just that not everyone is. EDA is an industry where you need a master’s… Read More


IoT will depend on FPGAs

IoT will depend on FPGAs
by Luke Miller on 07-29-2014 at 6:00 am

The IoT (Internet of Things) creates an ambivalence within me. Part of me hates computers and being connected, the other is currently working on a boiler controller that even adaptively predicts and senses when the next wood load is needed and alerts the wife. Yup pray for her. I really use FPGAs and CPLDs around the farm and I am slowly… Read More


End-to-end look at Synopsys ProtoCompiler

End-to-end look at Synopsys ProtoCompiler
by Don Dingee on 07-28-2014 at 9:00 pm

Usually, we get the incremental story in news: this new release is x percent better at this or that than the previous release, and so on. Often missing is the big picture, telling how the pieces all tie together. Synopsys took on that challenge in their latest FPGA-based prototyping webinar. … Read More


ARM’s Quarterly Results: Licensing Strong, Royalties Weak, Future is Bright

ARM’s Quarterly Results: Licensing Strong, Royalties Weak, Future is Bright
by Paul McLellan on 07-28-2014 at 8:30 pm

Last week was ARM’s quarterly earnings call. Simon Segars, the CEO, and Tim Score, the CFO, presented from London.


First, the numbers:

  • revenue was up 17% year-on-year in dollar terms to $309.6M, but only 9% in Sterling terms due to exchange rate moves to £187.1
  • profit before tax was $94.2M

  • licensing was up 42% year-on-year
Read More

Accelerating SoC Verification Through HLS

Accelerating SoC Verification Through HLS
by Pawan Fangaria on 07-28-2014 at 3:00 pm

Once upon a time there was a struggle for verification completion of semiconductor designs at gate level. Today, beyond imagination, there is a struggle to verify a design with billions of gates at the RTL level which may never complete. The designs are large SoCs with complex architectures and several constraints of area, performance,… Read More


FD-SOI: 20nm Performance at 28nm Cost

FD-SOI: 20nm Performance at 28nm Cost
by Paul McLellan on 07-28-2014 at 8:01 am

There has been a lot of controversy about whether FD-SOI is or is not cheaper to manufacture than FinFET. Since right now FinFET is a 16nm process (22nm for Intel) and FD-SOI is, for now, a 28nm process it is not entirely clear how useful a comparison this is. Scotten Jones has very detailed process cost modeling software (that is what… Read More