NanoSpice Pro X Webinar SemiWiki

Chip Design – Coming of Age in the Computer Age

Chip Design – Coming of Age in the Computer Age
by Mike Gianfagna on 05-13-2015 at 2:30 am

Previously, I examined chip design in the late 1970s and early 1980s. It was a nostalgic ride – thanks to all those who shared their stories. I enjoyed reading all of them. I drew two basic conclusions in the prior post:

[LIST=1]

  • Chip design problems are the same, more or less, over time. The numbers just get bigger
  • Raising abstraction
  • Read More

    Saving Time and Money on Your Next SoC Project

    Saving Time and Money on Your Next SoC Project
    by Daniel Payne on 05-12-2015 at 8:00 pm

    Every SoC project that I know of wants to finish on time, under budget, and maximize profits per device. When I first started out doing DRAM design I learned that we could maximize profit by doing shrinks of existing designs, move from ceramic to plastic packages, and reduce the amount of time spent on a tester. Today, the economic … Read More


    Beware of Parameter Variability in Clock Domain Crossings

    Beware of Parameter Variability in Clock Domain Crossings
    by Jerry Cox on 05-12-2015 at 4:00 pm

    How should we assess the risk of harmful metastability in a clock domain crossing (CDC) when the semiconductor process has significant parameter variability? One possibility is to determine the MTBF of a synchronizer at the worst-case corner of the CDC. But that approach has some conflicting complications:

    • Synchronizer failures
    Read More

    ARM A57 (A53) Virtualizer + IP Accelerated = ?

    ARM A57 (A53) Virtualizer + IP Accelerated = ?
    by Eric Esteve on 05-12-2015 at 12:00 pm

    Hybrid IP Prototyping Kit from Synopsys!
    Synopsys has launched IP Accelerated initiative last year. The goal was clearly to accelerate Time-To-Market by providing a complete set of “tools” to augment design productivity:

    • IP Prototyping Kit with reference designs work out-of-the-box
    • IP software development kits enable early
    Read More

    Is Low Power a Challenge? ICE-Grain Answers the Challenge

    Is Low Power a Challenge? ICE-Grain Answers the Challenge
    by Paul McLellan on 05-12-2015 at 7:00 am

    Blogs have limited wordcount so insert your own generic opening paragraph here about the importance of low power in IC design. Mention IoT and cloud datacenters for extra credit.

    It is well-known that the biggest reductions in power come from changes at the architectural level. Tools and process can do some things and since they… Read More


    Experts Talk at Mentor Booth

    Experts Talk at Mentor Booth
    by Pawan Fangaria on 05-11-2015 at 7:00 pm

    It’s less than four weeks to go at DAC 2015 and the program is final now. So I started investigating new technologies, trends, methodologies, and tools that will be unveiled and discussed in this DAC. In the hindsight of the semiconductor industry over the last year, I see 14nm technologies in the realization stage and 10nm beckoning… Read More


    Breaking the SoC lab walls

    Breaking the SoC lab walls
    by Don Dingee on 05-11-2015 at 7:00 am

    There used to be this thing called the “computer lab”, with glowing rows of terminals connected to a mainframe or minicomputer. Computers required a lot of care and feeding, with massive cooling and power requirements. Microprocessors and personal computers appeared in the 1970s, with much smaller and less expensive machines… Read More


    End of the Road for Micrel

    End of the Road for Micrel
    by Majeed Ahmad on 05-10-2015 at 7:00 pm

    Micrel Inc., one of the oldest chipmakers in Silicon Valley, has been acquired by Chandler, Arizona–based Microchip Technology Inc. for $839 million. A pure-play analog chip house will go to one of the leading microcontroller suppliers after regulatory approval amid the consolidation wave that has engulfed the semiconductor… Read More


    SoCs in New Context Look beyond PPA – Part2

    SoCs in New Context Look beyond PPA – Part2
    by Pawan Fangaria on 05-10-2015 at 10:00 am

    In the first part of this article, I talked about some of the key business aspects along with some technical aspects like system performance, functionality, and IP integration that drive the architecture of an SoC for its best optimization and realization in an economic sense. In this part, let’s dive into some more aspects that… Read More


    Feed Your Mind and Body at 52nd DAC!

    Feed Your Mind and Body at 52nd DAC!
    by Daniel Nenni on 05-10-2015 at 4:00 am

    My beautiful wife and I attend the Design Automation Conference together whenever possible. More so now that she is the co-founder and CFO of SemiWiki. It is really nice for her to put a face to the invoices and personally thank our subscribers. Her first DAC was 1985 in Las Vegas. We were married for less than a year so it was like a secondRead More