SNPS1670747138 DAC 2025 800x100px HRes

Post-Silicon Consistency Checking. Innovation in Verification

Post-Silicon Consistency Checking. Innovation in Verification
by Bernard Murphy on 10-26-2022 at 6:00 am

Innovation New

Many multi-thread consistency problems emerge only in post-silicon testing. Maybe we should take advantage of that fact. Paul Cunningham (Senior VP/GM, Verification at Cadence), Raúl Camposano (Silicon Catalyst, entrepreneur, former Synopsys CTO and now Silvaco CTO) and I continue our series on research ideas. As always, feedback welcome.

The Innovation

This month’s pick is Threadmill: A Post-Silicon Exerciser for Multi-Threaded Processors. The authors presented the paper in the 2011 DAC proceedings, publishing in both ACM and IEEE Digital Libraries. At publication the authors were at IBM Research in Haifa, Israel.

The authors’ goal is to generate multi-threaded tests to run on first silicon, requiring only a bare metal interface. This exerciser is a self-contained program with all supporting data and library functions. A compile step pre-computes and optimizes as much as possible to minimize compute requirements in the exerciser. On-silicon, the exerciser generates multiple threads with shared generated addresses to maximize potential collisions. The exerciser can run indefinitely using new randomization choices for each set of threads.

Consistency mismatches when found are taken back to emulation for debug. These start with the same random seeds used in the exerciser, rolled back a few tests before a mismatch was detected.

Paul’s view

Great paper on a neat tool from IBM research in Israel. A hot topic in our industry today is how to create “synthetic” post-silicon tests to stress hardware beyond what is possible pre-silicon, while also composed of many short, modular, and distinct semi-randomized auto-generated sequences. Hardware bugs found by running real software workloads post-silicon typically require billions of cycles to replicate, making them impractical to port back to debug friendly pre-silicon environments. But bugs found using synthetic tests can be easily replicated by replaying only the relevant synthetic sequence that triggered the bug making them ideally suited to replication in pre-silicon environments.

Threadmill is a valuable contribution to the field of post-silicon synthetic testing of multi-threaded CPUs. It takes a high level pseudo-randomized test definition as input and compiles it into a self-contained executable to run on silicon. This generates randomized instruction sequences conforming to the test definition. Sequence generation is “online” in the silicon without need to stream any data from an offline tool, allowing generation of massive amounts of synthetic content at full silicon performance.

Lacking a golden reference for tests, Threadmill runs each test several times and checks that the final memory and register values at the end of the test are the same. This approach catches only non-determinism related bugs, e.g. those related to concurrency across multiple CPU threads – hence the tool’s name, ThreadMill. The authors rightly point out that such concurrency related bugs are some of the most common bugs that escape pre-silicon verification of modern CPUs. Threadmill can offer high value even with this somewhat limited scope.

The bulk of the paper is devoted to several techniques the authors deploy to make Threadmill’s randomization more potent. These techniques are clever and make for a wonderful read. For example, one trick is to use different random number seeds on each CPU thread for the random instruction generator, but the same random number seed across all CPU threads for random memory address generation. This trick has the effect of creating different randomized programs running concurrently on each CPU, but with each of these programs having a high probability of catching bugs related to memory consistency in the presence of read/write race conditions. Nice!

Raúl’s view

IBM’s functional verification methodology for the POWER7 processor (2011) consisted of a unified methodology including pre- and post-silicon verification. Differences between pre- and post-silicon include speed, observability, and the need for a lightweight exerciser they can load into the bare-metal chip. Both Threadmill and the pre-silicon platform (Genesys-Pro) use templates like “Store R5 into addresses 0x100+n*0x10 for addresses <0x200” to generate testcases. The key to the unified methodology is using the same verification plan, the same languages, templates, etc.

The authors describe Threadmill  at a high level, with many interesting details. One example is need to run coverage analysis on an accelerator, not on the chip, because the limited observability of the silicon does not allow to measure it on the silicon. The exerciser executes the same test case multiple times and compares results; multi-pass comparison is limited but has proven effective in exposing control-path bugs and bugs in the interface of the control and data-paths. Branches are generated statically, using illegal instruction interrupts before a branch to force taking one particular branch. Data for floating point instructions is generated as a combination of tables with interesting data for a given instruction and random values. Generation of concurrent tests (multiple threads) relies on shared random number generators, e.g., to select random collision memory locations. They debug failing tests by restarting the exerciser a few bugs before the failure on the acceleration platform.

Coverage results indicate at least one high impact bug exposed  on an accelerator before tape-out. Also “Results of this experience confirm our beliefs about the benefits of the increased synergy between the pre- and post-silicon domains and of using a directable generator in post-silicon validation”.

The papers are easy to follow and fun to read, lots of common sense. The shared coverage and collision experiment results are hard to judge. One must rely on the author’s comments on the contribution of post-silicon validation in their methodology. Post-silicon validation is a critical component of processor design; ultimately intertwined with design. Every group designing a complex processor will use its own methodology. It continues to be a fertile publication area. In 2022 alone Google scholar lists over 70 papers on this subject  .

My view

I’m not sure about the relevance today of the post-silicon floating point tests today. The memory consistency tests make a lot of sense to me.

 


Higher-order QAM and smarter workflows in VSA 2023

Higher-order QAM and smarter workflows in VSA 2023
by Don Dingee on 10-25-2022 at 10:00 am

Higher-order QAM and smarter workflows in a 5G NR example from the Keysight 89600 VSA

3GPP Release 17 and 802.11be (Wi-Fi 7) extend modulation complexity, raising the bar for conformance testing and test instrumentation to new levels. The latest release of Keysight PathWave 89600 Vector Signal Analysis 2023 (VSA 2023) software takes on higher-order QAM and smarter workflows, many customer-requested, for advanced signal analysis. We’ll focus on four areas of enhancements: 5G NR (and, for research, 6G) measurements, cross-correlated EVM, multi-measurements combining EVM with ACP and SEM, and event-based user actions.

Accelerating 5G, O-RAN,  and 6G research

In tracking the 3GPP specification and aligned specifications such as O-RAN WG4 fronthaul and leaning into 6G research, Keysight’s objective with the 89600 VSA is accelerating complex RF system research and development workflows. A visual example shows the range of measurements possible from one IQ data acquisition.

3GPP Release 17 brings 1024QAM, a much denser constellation than the 256QAM in previous releases. The VSA 2023 release supports I/Q reference values (all zeroes or PN23) for PDSCH EVM calculations, avoiding the problem of obtaining “ideal” constellation points from estimation in high noise or system impairments.

Another enhancement for 5G NR in the VSA 2023 release is expanding component carrier views. Even though the 3GPP specification still calls for 16 component carriers, researchers are exploring using up to 32. VSA 2023 users can display data from 32 component carriers with a simple pull-down menu, allowing a side-by-side view of all component carriers for easier performance comparison.

With design teams already looking ahead to 6G but its specifics still in flux, Keysight has also incorporated user-defined constellations and modulation formats in VSA 2023. “We’re trying to be as aggressive as we know how about 6G research topics,” says Raj Sodhi, Keysight 89600 VSA Product Manager.

Several dBs of improvement in EVM measurements

EVM is a critical spec for 5G NR, Wi-Fi 7, and other advanced wireless systems. “If we could get 3 to 5 dB better in EVM measurements, who would care? The answer is everyone,” says Sodhi. With higher-order constellations and broader bandwidths, margins are already tight. For accurate EVM readings, test equipment needs to be distortion-free and much quieter than the specification by a substantial margin.

Cross-correlated EVM (ccEVM) is a Keysight algorithm that eliminates instrument-induced uncorrelated noise using two independent analyzer channels, lowering the EVM noise floor. Depending on how many points the ccEVM measurement in VSA 2023 uses, improvements of 5 dB or more are straightforward, and theoretically, up to 20 dB improvement is possible.

Conformance test peace of mind with smarter workflows

While EVM defines system performance, two other specifications are essential in conformance testing: adjacent channel power (ACP) and spectral emission mask (SEM). Until the VSA 2023 release, RF system designers had to bring several instruments and spectrum analyzer software applications, each with their own setups, to get all three measurements. “Now, we’ve brought ACP, EVM, and SEM under one roof,” says Sodhi.

A new measurement application in VSA 2023 has ACP and SEM presets for 5G base station conformance tests. But it is flexible, allowing measurement configuration for any signal type. It formalizes support for single and multi-carrier ACP, EVM, and SEM measurements from single or sequential acquisitions depending on the hardware IF bandwidth.

This capability leads into “contexts” – a simple setup for 5G NR conformance tests. One setup can support all test models, bandwidths, numerologies, and more.

Advanced triggering with event-based actions

Often, setting up a complex VSA measurement is just the beginning of capturing data. Signal conditions can change rapidly during normal system operation, throwing test results out of whack. A good example is a system with kinematics, such as a satellite in a 5G non-terrestrial network (NTN), where Doppler shift can cause enough frequency error to pull the VSA out of sync during capture.

To cope with this scenario, VSA 2023 adds event-based actions. Users can choose a metric – such as frequency error, EVM, or any data source in a summary table trace in the VSA – and set a condition to monitor. When the condition is met, one of two actions can occur: pause the measurement or run a macro.

In the 5G NTN example, when the Doppler shift drives the frequency error over the selected condition of 10 kHz, a macro runs recentering the VSA on the shifted frequency, continuing the capture seamlessly. A macro can be essentially any set of commands for the VSA, for example, automating a setup change, saving anomalous data to a file, adding markers to zoom in traces, and so on. Advanced triggers based on measurements become possible without extensive experiments to find the right combination of settings.

More enhancements for wireless connectivity

Three more features round out the VSA 2023 release, including some designed for teams working in 6G research.

  • Wi-Fi 7 (802.11be) teams are dealing with even higher-order modulation in the form of 4096QAM. Similar to the situation for 5G NR and 6G, pulling ideal constellation points out of noise and impairments can be problematic. Users can specify reference symbols for more accurate EVM measurements. Also, the VSA now automates CRC checking by decoding the PHY Service Data Unit (PSDU).
  • UWB changes keep pace with the FiRa specification, with a Keysight engineer now helping drive specification updates. Pulse shaping is computed per the specification in the Baseband Pulse Mask trace, and the Frame Info trace results are enhanced. Synchronization improvements help capture results from multiple UWB frames.
  • FlexFrame now includes an adaptive equalizer, increasingly crucial as channel bandwidths grow and impairments make EVM measurements more difficult. Flex Frame continuously evolves the channel estimation with a least mean squares (LMS) algorithm in time domain and a zero-forcing algorithm in frequency domain. For 6G research, an XCorrelation equalization mode, equalizer filter track or hold, and LMS algorithm speed improvements offer more stable equalization.

Discover more about PathWave 89600 VSA 2023

“VSA has been the spearpoint of signal analysis technology, especially for EVM measurements,” says Sodhi. “Often, customers would start with the VSA, then migrate to spectrum analyzer applications for coverage of out-of-band measurements. With the VSA 2023 release, they can start with VSA and stick with VSA for measurements like ACP and SEM – a better workflow using the same measurement science.” Here are some resources for discovering more about higher-order QAM and smarter workflows in the PathWave 89600 VSA 2023 release.

 

Web page:

What’s New in 89600 VSA?

 

Videos:

89600 VSA Software PowerSuite Demo for 5G NR ACP and SEM Measurements

802.15.3d Signal Analysis using the 89600 VSA’s Adaptive Equalizer in FlexFrame

Event-Based User Actions in the 89601200C VSA Base Platform

5G Transmitter Validation using PathWave System Design and 89600 VSA Software

 

Press release:

Keysight Accelerates RF System Design and Digital Mission Engineering Workflows for 5G Non-Terrestrial Networks

Also Read:

Advanced EM simulations target conducted EMI and transients

Seeing 1/f noise more accurately

Unlocking PA design with predictive DPD


The Corellium Experience Moves to EDA

The Corellium Experience Moves to EDA
by Lauro Rizzatti on 10-25-2022 at 6:00 am

Corellium SemiWIki

Bill Neifert invited me to join him on Zoom recently to talk about his move to Corellium, a company known within the DevSecOps (development, security, operations) market. Developers and security groups use its virtualization technology to build, test, and secure mobile and IoT apps, firmware, and hardware.

Not knowing much about DevSecOps, I agreed, though wondered how Neifert ended up in what seems like a market far removed from EDA.

Neifert and I have known each other for many years, beginning when we were both co-founders of EDA startups. I was at Emulation and Verification Engineering, known as EVE and now part of Synopsys. He was CTO of Carbon Design Systems, now Arm. We did hardware emulation. Carbon did fast, cycle-accurate, system-level models. What we didn’t realize then was that the design and verification community was moving up the abstraction level. EVE and Carbon today would offer complementary tools and we might have signed a partnership or reseller agreement.

Neifert’s big news is Corellium’s move into our EDA ecosystem by forging a partnership with Arm to leverage its Arm hypervisor-based virtualization technology to enable hardware/software co-design for developers to verify and validate embedded and IoT applications.

Corellium is well-known in the security market and operates in the Arm virtualization space through its Arm hypervisor executing Arm workload directly on an Arm server. The typical application is modeling mobile phones by executing the latest versions of an OS for security and vulnerability research. This technology can be applied to other market segments, such as hardware/software co-design and general-purpose Arm workloads. IoT software development market is also ripe for change since the methodologies are basic by developer standards and not scalable.

I was intrigued and urged Neifert to tell me more, especially as IoT is emerging as the next frontier for electronic devices and often software developers today outnumber chip designers in a project group. Their needs become acutely apparent if they are forced to wait for first silicon to start software development that could delay meeting project schedules. The often used and popular solutions are hardware emulators or FPGA prototypes that can be costly shared resources and difficult to debug. Virtual prototypes are popular but require engineers to assemble them and write models for any new components when the third-party IP vendor doesn’t provide them. Speed is an issue as well.

By contrast, Corellium’s virtualization technology run orders of magnitude faster than traditional virtual models, a benefit software developers appreciate. In fact, a virtualized model of an IoT device runs much faster than the actual physical device.

The cloud gives a huge advantage to IoT device virtualization over older methodologies. Virtualized devices can be used independently of hardware availability. They can be created while chips are in development with the functionality of the real hardware with debuggability and observability that comes from virtual execution. They can scale up or down and deployed around the world via the internet and tie into cloud-based flows and resources to enable continuous integration (CI)/continuous deployment (CD) and DevSecOps.

It’s a compelling story. It also obvious why Neifert joined Corellium. He agreed and wished Corellium was around in Carbon’s early days because its virtualization technology is so much better than anything the EDA community was building.

To learn more about Corellium and its partnership with Arm, visit avh.arm.com or iot.corellium.com.

Also Read:

New Cadence Joint Enterprise Data and AI Platform Dramatically Accelerates AI-Driven Chip Design Development

Clock Aging Issues at Sub-10nm Nodes

The Increasing Gap between Semiconductor Companies and their Customers


New Cadence Joint Enterprise Data and AI Platform Dramatically Accelerates AI-Driven Chip Design Development

New Cadence Joint Enterprise Data and AI Platform Dramatically Accelerates AI-Driven Chip Design Development
by Kalar Rajendiran on 10-24-2022 at 10:00 am

1 Cadence Joint Enterprise Data and AI JedAI Platform

Without data, there is no computing field to talk about, no technology world to awe at and not much of a semiconductor industry to work in. There is no argument that data is the foundational piece for everything, has been to date and will continue to be. While processing an application’s input data is essential to serve the intended purpose, a lot of collateral data is typically generated in the process of creating the desired output. Chip development projects are high on the list when it comes to the amount of collateral data generated. Analyzing collateral data could provide insights to enhance future products, yet it is not frequently done.

Things are changing. The availability of compute-related resources has grown tremendously over the years. Advancements in artificial intelligence (AI) and machine learning (ML)-based technologies have made intelligent analysis software possible. Over the last couple of years, Cadence has released many AI-driven software products to dramatically benefit the chip development efforts. And recently, Cadence announced its Joint Enterprise Data and AI (JedAI) Platform that unifies data sets across all Cadence computational software. The Cadence JedAI Platform is a big data analytics infrastructure that dramatically improves productivity and power, performance and area (PPA) by enabling AI-driven applications.

Three Major Aspects of Product Development

Before discussing the salient aspects of the JedAI platform, it’s worthwhile to summarize the AI-driven Cadence products that have already been in use for some time now.

Design

Last year, the company announced the Cadence Cerebrus™ Intelligent Chip Explorer, an AI-driven, automated RTL-to-GDS full-flow optimization tool. It takes PPA targets for a design or a block within a design. The user can provide a start and end point of the flow or tell the tool to do the full flow. It can run hundreds of different experiments very quickly and search a much larger space than is possible via manual means. Through ML techniques, Cerebrus helps increase EDA flow efficiencies and enables implementation tools to quickly converge on better placement and route and timing closure.

Optimization

Announced earlier this year was Optimality™ Intelligent System Explorer, Cadence’s system optimization platform. The platform delivers for system design what the Cerebrus platform delivers for chip design. The tool quickly and efficiently explores the design space to produce optimal electrical design performance. It helps optimize the system design by applying AI techniques to the results of multi-physics analyses.

Verification

Cadence recently announced the Verisium™ Artificial Intelligence (AI)-Driven Verification Platform. Verification and debug are major aspects of all chip development projects and rely on experience and creativity to execute. The Verisium platform is built on the JedAI Platform and is natively integrated with Cadence verification engines. It is a multi-run, multi-engine tool that applies AI models and ML techniques to perform root cause analysis for debug, optimize verification workloads and increase coverage.

Cadence JedAI Platform

Whether it is the design, verification or optimization efforts, a tremendous amount of data is generated. The data can be broadly categorized into design data, workflow data and workload data. For example, design RTL, netlist, physical layout shapes, timing analysis reports, etc., would fall into the design data category. The workflow data category would contain information about the specific tools and methodology used in the design process. And workload data refers to data about runtime, memory and storage usage and job inputs and inter-dependencies.

The JedAI Platform applies AI algorithms on the above types of data to optimize multiple runs of multiple engines across an entire SoC design and verification flow. It also analyzes historical workload data to predict resource requirements and schedule jobs for increased server farm utilization for both on-prem and on-cloud scenarios. The Platform allows engineering teams to visualize and uncover data trends and automatically generate strategies for improved design performance and engineering productivity.

Benefits of Cadence JedAI Platform

By themselves, the earlier mentioned AI-driven design, verification and optimization platforms enable enhanced productivity and PPA benefits compared to traditional approaches. Even higher levels of benefits can be derived if data from these different platforms can be cross-leveraged. The JedAI Platform makes that possible by offering a common infrastructure for inter-communications. Built on top of this common infrastructure, data connectors allow for bi-directional transfer from a wide variety of Cadence tools and data sources. Also provided are general-purpose open data connectors for designers to easily import third-party data as needed. Support of open industry-standard user interfaces such as Python, Jupyter Notebook and REST APIs enable designers to create custom analytics applications as needed.

One of Many Use Cases

Various RTL modules of an SoC connect to different parts of an SoC using input and output ports. Understanding the timing criticality between the various modules is key to achieving the PPA goals of the SoC. A static timing analysis report does not make it easy or quick to gain these insights. But, with the module timing visualization and trend analysis app that is included with the JedAI Platform, customers can generate a module-based timing criticality report. The data visualization and analytics features of the JedAI Platform highlight the modules that communicate and the associated ports timings.

As the JedAI Platform can store many revisions of the SoC design data, it is possible to see how the RTL port timing changes based on different revisions of the source RTL. Equipped with this insight, RTL designers can make effective changes for improving timing within and across module boundaries. The changes are then communicated to the SoC implementation platform using the Innovus™ Implementation System’s data connector.

Summary

With the Cadence JedAI Platform, Cadence has unified its computational software innovations in data and AI across its Verisium verification platform, its Cerebrus implementation platform, and its Optimality system optimization platform. The revolutionary JedAI Platform enables customers to meet increasingly stringent PPA, productivity and time-to-market demands of their respective market segments.

The Cadence JedAI press announcement can be found here and more details can be accessed in the product section of Cadence website.

Also Read:

Test Ordering for Agile. Innovation in Verification

Finally, A Serious Attack on Debug Productivity

Hazard Detection Using Petri Nets. Innovation in Verification


Intel Foundry Services Forms Alliance to Enable National Security, Government Applications

Intel Foundry Services Forms Alliance to Enable National Security, Government Applications
by Daniel Nenni on 10-24-2022 at 6:30 am

USMAG Alliance

This will be the year of the semiconductor foundry ecosystem, absolutely. Right in between the Samsung SAFE Forum and the TSMC OIP Open Ecosystem Forum, Intel Foundry Services (IFS) just announced a United States Military, Aerospace, and Government (USMAG) Alliance.

Brilliant move, of course, due to the US Government now being actively involved in the semiconductor industry (CHIPS Act) and the current geopolitical landscape where the defense electronics market is literally exploding.

Early in my career I worked in the federal systems group of a computer company and was read into a Reagan era Star Wars project. It was mind blowing. Not only does this keep us safe, the commercial sector gets to benefit from this type of R&D and Hollywood gets “inspiration” for future entertainment. Seriously, I read a Tom Clancy novel that is now a movie that was strikingly similar to a project I participated in. Enough said, I don’t want a visit from the FBI.

As with automotive and other consumer markets, the semiconductor content of defense related electronics is increasing exponentially. Drones, smart weapons and vehicles just to name a few and the lifespan of some of these products being less than a smart phone.

The issue at hand is: How do we secure the supply chain for defense related electronics? As we have discovered in the Ukraine, Russian weapons are filled with US based chips and that has to stop.

The announcement:

Intel Foundry Services (IFS) today launched a strategic addition to its design ecosystem Accelerator program. The new USMAG (United States Military, Aerospace and Government) Alliance brings together a trusted design ecosystem with U.S.-based manufacturing to enable assured chip design and production on advanced process technologies and meet the stringent design and production requirements of national security applications. A first in the industry, the program’s initial members include leading companies like Synopsys, Cadence, Siemens EDA, Intrinsix and Trusted Semiconductor Solutions.

“Semiconductors enable technologies critical to U.S. national security and economic and global competitiveness. Intel is committed to restoring end-to-end U.S. chipmaking leadership through major investments in both R&D and scale manufacturing here in the United States. As the only U.S.-based foundry with leading-edge process capabilities, IFS is uniquely positioned to lead this effort and galvanize the ecosystem to build a more resilient and secure supply chain for U.S. military, aerospace and government customers.”–Randhir Thakur, president of Intel Foundry Services

Let me remind you that IFS employs two key ecosystem executives; Suk Lee and Lluis Paris who I worked with over at TSMC. They built the mighty TSMC OIP and proved without a shadow of a doubt that ecosystem is everything. Why is this important to us personally? Because this will help keep our families safe.

Why It’s Important: National security and government applications focus on securing vital information systems and decision networks, requiring scalable chip design and production capabilities. Leading- edge semiconductors are the bedrock of these systems and networks. In addition to requiring the most advanced process technologies, MAG applications also impose unique functional requirements like radiation hardening by design, wide ambient temperature tolerance and others. Securing these chips requires end-to-end capabilities across the semiconductor design and manufacturing life cycle. A closely coordinated effort between advanced manufacturers and their electronic design automation (EDA), IP and design service alliance members is crucial to deliver the functional and operational security required by MAG applications.”

How It Works: Through the USMAG Alliance, IFS will collaborate with members to enable their readiness to support MAG designs on leading-edge technology nodes. The alliance will ensure that EDA members’ tools are optimized to deliver secure design methodologies and flows and enabled to operate in secure design environments, while meeting the requirements of IFS’ process design kits (PDK). IFS will also work with IP-provider members to deliver design IP blocks that serve MAG specifications for quality and reliability. Finally, IFS will enable the members who provide design services to implement USMAG design projects using IFS reference flows and methodologies. The USMAG Alliance will provide an assured and scalable path for customers to deploy designs that fully achieve the unique requirements of MAG applications.

About the IFS Accelerator: In February 2022, IFS launched its Accelerator design ecosystem program to help foundry customers bring their silicon products from idea to implementation. Through deep collaboration with industry-leading companies, IFS Accelerator taps the best capabilities available in the industry to help advance customer innovation on Intel’s foundry platform offerings. The IFS Accelerator provides customers a comprehensive suite of tools, including validated EDA solutions, silicon-verified IP and design services that allow customers to focus on creating unique product ideas.

You can see the full press release HERE.

Also Read:

Intel Lands Top TSMC Customer

3D Device Technology Development

Intel Foundry Services Puts PDKs in the Cloud


GM’s Bad RTO Optics

GM’s Bad RTO Optics
by Roger C. Lanctot on 10-23-2022 at 6:00 pm

GMs Bad RTO Optics

The automotive industry has been uniquely whipsawed by the COVID-19 pandemic. Factories, dealerships, and offices were shuttered in its earliest days undercutting both supply and demand.

The industry impacts spread outward from these initial shocks with major auto shows folding their tents and ripples of supply chain disruptions roiling normally reliable sourcing arrangements for vital semiconductors. What slowly dawned on industry participants was the reality that some changes might be longer lasting.

Sure, workers may have returned to the factories, but what would happen with white collar workers? Would supply chains heal? Would traditional auto shows return? Customers returned right away. Demand never slackened.

New answers to these questions are emerging daily. The first post-pandemic auto show outside of China was the Munich IAA event last fall. It was different – with suppliers intermingled with car makers on the show floor – and it was reasonably successful.

The L.A. Auto Show that followed later last fall was less successful and signaled that a wider recovery in typical consumer-centric auto shows was not yet in the offing. Now the Detroit auto show has come and gone with its own reverberations of disappointment.

The bigger question emerging from the lackluster consumer reaction to the Detroit Auto Show (officially the North American International Auto Show) is why car company executives expect consumers to turn out to an auto show if rank and file white collar workers won’t show up at the office. The headline in the Detroit Free Press told the tale this week: “GM Steps Back on Return-to-Work Policy after Backlash from Salaried Workers.”

For many, the home of CEO Mary Barra’s “dress appropriately” mantra was not having any of this “work appropriately” request.

As a post-pandemic frequent traveller I feel a need to express my shock at the situation unfolding at GM. Plenty of workers across the country and throughout the world have had to return the work – in fact never left work! I see and interact with these workers every day in my travels.

We don’t think about these workers. We don’t notice them. We take them for granted. They are the workers in hospitality, retail, restaurants, health care, and transportation.

When the automotive industry was on its knees in the spring of 2020, the factory workers – the car makers – they came back. And they did so with barely a whimper!

The actual car makers helped ensure that the industry’s recovery was swift. Factory workers returned en masse to automobile plants that had been modified to accommodate pandemic hygiene. And dealerships, too re-opened to customers who still had a hankering to kick tires and take test drives.

Strangely, the offices of car makers and their suppliers largely remained shuttered. It became clear this was the case when visitors discovered that in-person meetings were impossible with most headquarter facilities unoccupied.

The revolt of white collar workers at GM is truly revolting. How do they account for their sentiments as they ride in their Ubers and Lyfts or accept their bag of pretzels from the flight attendant or receive their key to their accommodation? How do they explain THEIR outrage to the Starbucks coffee maker, the mailman, the convenience store clerk?

If you were hired – pre-pandemic – to work in an office, you are obligated now, nearly three years after the onset of the pandemic, to return to that office. But, seriously, if you work in the automotive industry which is uniquely dependent upon hundreds of thousands of workers building your products, you have a moral obligation to show up physically (with exceptions for those with medical conditions that may render them vulnerable). If for no other reason, you should show up in the office to demonstrate your solidarity with those folks at the plants. The plant workers took their places on the production for you and me and the industry. What’s your contribution?

Also Read:

U.S. Automakers Broadening Search for Talent and R&D As Electronics Take Over Vehicles

Siemens EDA Discuss Permanent and Transient Faults

Super Cruise Saves OnStar, Industry


Is ASML Immune from China Impact?

Is ASML Immune from China Impact?
by Robert Maire on 10-21-2022 at 10:00 am

ASML China Immunity

-ASML has great QTR & Outlook & Huge Euro8.9B orders
-Relatively immune from China due to mainly non leading edge
-Monster Euro38B backlog – 60EUV & 375DUV systems in 2023
-5% China risk to 2023- still mainly supply constrained

ASML proves litho’s place at Apex of semiconductor food chain

ASML announced a great quarter with Euro5.8B in revenue and EPS of Euro4.29/share. Outlook is for revenues of Euro6.1B to 6.6B with gross margins of 49%. Gross margin for 2022 will come in about 50% overall.

Most importantly, orders came in at a huge Euro8.9B, 77% logic, bringing backlog to a multi-year Euro38B. ASML is looking at shipping 60EUV and 375DUV systems in 2023, assuming supply chain issues are resolved.

China immunity from two factors

ASML will have 5% or less impact next year from the China issue for two simple reasons; number one, the majority of current business is non leading edge, above 14NM as ASML was already not shipping any EUV tools to China. Number two, ASML is sold out anyway and there are a large number of customers who will happily snap up any systems that China doesn’t or can’t take.

In our view, as we had previously commented on months ago, ASML is virtually immune to China embargo issues given their leading positioning in the industry. The semiconductor industry remains a zero sum game and litho systems not shipped to China will go elsewhere to satisfy demand.

Macro economic risk remains low as well

In our view, there is obviously the macro economic risk in addition to the China risk, but ASML remains relatively immune from near term spending trends given the huge , overwhelming demand for product and multi year backlog. While there is always risk if macro economy issues get too bad, it would have to get real bad to see customers on the order queue get off line and reduce backlog. The backlog will likely keep ASML in good shape through a macro economic soft patch.

“Customers never cancel, they just re-schedule, it never goes away”

US content is critical to ASML tools

Company management played down the US content of tools which are obviously shipped from the Netherlands. US content may be small but its the most critical content as it is primarily the light source technology for the entire system, and was the subject of the most development work and delays in EUV.

Light source technology is developed by former Cymer, in San Diego, that ASML was allowed to buy by the US government. We are relatively certain that there were agreements regarding Cymers technology in order to win acquisition approval.

Many investors may not be aware that much if not most of the laser technology, especially for EUV, arose out of the “star wars” laser weapons systems of the Regan era as Cymer employed many scientists out of the ex star wars program from both the US and former Soviet Union. The technology used in the 250KW drive laser in EUV systems could be re-purposed for military applications.

We worked on the Cymer IPO in 1996 & followed it since and understand the technology well.

77% logic mix shows resilience

The fact that 77% of orders are from logic suggests that a more rapid slowdown in memory will not impact ASML at all. Management also announced orders for High NA systems along with regular EUV systems. Though high NA was not broken out, at over Euro300M a system, the numbers can add up more than twice as fast as DUV systems. We assume that TSMC, Intel and Samsung have likely already ordered multiple High NA EUV systems. TSMC’s recent capex cut clearly is not impacting their litho system orders as they understand the import of leading in litho.

The stocks

Obviously ASML had a great quarter and fantastic outlook. In our view this reaffirms their positioning in the industry as the top semiconductor equipment company in the world.

They remain in a monopoly position for the most critical and sought after equipment, lithography, which sets the pace for Moore’s Law and improvements in the industry.

While not 100% immune they are likely better than 95% immune and China and macro economic issues are minimal to near zero.

If you want to be invested in semiconductor equipment, ASML is perhaps the best stock to stay in. While the stock will gyrate a lot due to the storm surrounding the industry, the companies performance will remain the most steady of its peers throughout whatever storms come.

ASML’s report raises some collateral questions on other companies. Managements comments on the memory industry weakness and the fact that memory has fallen to 23% or orders along with memory order sluggishness reported by management suggest that companies with higher memory exposure with shorter term tools, such as etch and deposition are significantly more vulnerable to numbers getting cut.

LRCX is the top memory equipment supplier. We have already seen what appears to be a 50% cut from Micron which will certainly cut all of 2023’s business. Obviously Samsung and other memory players will cut memory spending as well so we would expect a significant reduction in Lam’s numbers from memory related customers. Applied Materials, AMAT, will also be negatively impacted with KLAC seeing the least of the US companies.

ASML remains unique in the industry and thus a sought after product and stock relative to others.

About Semiconductor Advisors LLC
Semiconductor Advisors is an RIA (a Registered Investment Advisor),
specializing in technology companies with particular emphasis on semiconductor and semiconductor equipment companies. We have been covering the space longer and been involved with more transactions than any other financial professional in the space. We provide research, consulting and advisory services on strategic and financial matters to both industry participants as well as investors. We offer expert, intelligent, balanced research and advice. Our opinions are very direct and honest and offer an unbiased view as compared to other sources.

Also Read:

Chip Train Wreck Worsens

Semiconductor China Syndrome Meltdown and Mayhem

Micron and Memory – Slamming on brakes after going off the cliff without skidmarks


Podcast EP115: Virtualize Your Development of Arm-Based Designs and More with Corellium

Podcast EP115: Virtualize Your Development of Arm-Based Designs and More with Corellium
by Daniel Nenni on 10-21-2022 at 8:00 am

Dan is joined by Bill Neifert, Senior Vice President of Partnerships at Corellium. Prior to Corellium, Bill was Senior Director of Marketing for Arm’s Development Solutions Group. Before that he was the co-founder and CTO of Carbon Design Systems which was acquired by Arm.

Dan explores the virtualization technology of Correlium with Bill. How their products help with Arm-based design development and some of the plans to extend the technology beyond Arm designs.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


CEO Interview: Aleksandr Timofeev of POLYN Technology

CEO Interview: Aleksandr Timofeev of POLYN Technology
by Daniel Nenni on 10-21-2022 at 6:00 am

2022 AT foto 3

Aleksandr Timofeev is CEO and Founder of POLYN Technology, an innovative provider of ultra-low-power high-performance NASP (Neuromorphic Analog Signal Processing) technology. Alexander is a serial entrepreneur with more than 20 years in the high-tech industry. Prior POLYN, he founded iGlass Technology, a company that developed novel electrochromic smart glass technology. He built the core team, general technology, and product concept and successfully sold the company at the end of 2020 to a strategic investor. Aleksandr is also founder and managing partner at FPI VC team, an early-stage venture investment management company. The fund focuses on early-stage innovative companies, developing clear product concepts and strategies and working with venture firms and partners for subsequent funding rounds.

While looking at the landscape of new startups in the AI/ML industry I found POLYN. The company differs from others in its business model as well as its concept and technology approach.

POLYN is a fabless semiconductor company selling ready-to-use Analog Neuromorphic chips as Application Specific Standard Products, targeting specific technological challenges in huge and fast-growing markets, particularly wearables, connected health, and Industry 4.0. Founded in 2019, it is registered in the UK with HQ in Israel.

According to its website, POLYN offers two products, and one more is under development. Recently it was announced that POLYN was accepted into the Silicon Catalyst incubator family.

We talked with Aleksandr Timofeev, CEO and founder, to explain the technology and what he is up to now. We asked Aleksandr’s opinion on today’s neuromorphic computing, what is special about POLYN, and how far we are from a real Tiny AI solution working on the sensor level. Here’s the interview:

Q: First, congratulations on joining the Silicon Catalyst incubator Could you say few words about what is in it for POLYN?

AT: POLYN’s objective as a fabless semiconductor company focusing on ready-to-use analog neuromorphic chips is to collaborate with leading semiconductor vendors, industry partners, and entrepreneurs.  Our mission is to introduce novel analog neuromorphic solutions for wearables, hearables, and IIoT on-sensor pre-processing with highly efficient energy per inference ratio. By being part of the Silicon Catalyst community and its huge portfolio of partners, we expect to accelerate our plans to improve cost, time to market, and the reach of our unique technology.

Q: I see your company decided to go a different way with constructing a chip from a neural network, unlike many others who are developing general purpose processors to apply a neural network there?

AT: Yes, we decided that for a neuromorphic based product it is more efficient to synthesize a chip from a neural network model, not like in the digital domain where you have fixed, general purpose PU instruction sets, and different software applications using them. When you start training a neural network (NN), you don’t know what final size you will get. If you have a fixed neuromorphic core, for some NNs it will be too small, and for others too big.

Q: Ok, interesting, but that means you need to generate a lot of chipsets and that would be both time and cost consuming. How you are dealing with that challenge?

AT: We are focused on the ASSP model. Our chip is related to sensor or signal type, but not a sensor model. For example, our Voice Extraction NASP chip works with any type of analog or digital mems microphone and other signal sources. And we will generate a new NASP core only for a new sensor or signal type. As you understand, it covers millions of products.  In case some new product moves to a different physical device, we can upgrade the chip easily, thanks to our fully automated process. So, to summarize, first NASP is application-specific and not product-specific, so the volumes are huge. Second, moving from application to application is easy with the POLYN automation tools. By the way, our tools were the first technological achievement at the beginning of the company, and they remain a unique EDA instrument for neural network conversion.

Q: Very impressive, but I have another question: Your critics could say that implementing even the inference neural network models requires changes, and as a result your neural network will need tuning from time to time. If your technology is implemented in a fixed resistor layer, how do you support neural network changes and updates?

AT:  First, we use the fundamental property of a neural network: when you train a deep neural network, after a few hundred training cycles a major part of the layers will become frozen.  So typically, about 90% of layers are not changing anymore, and are not involved in the following updates. Only a few last layers require an update if you need to change the classification. In such case we use a hybrid solution:  the 90% layers are converted into a high performance NASP core and the last 10% remain in the flexible digital domain.  But it is important to remember that our solution is focused on sensor level applications. We are not simulating brain functions, where constant learning (or re-training) is critical. In many sensor-level applications the pre-processing task is fixed and doesn’t require any update.

Q: Let’s discuss the analog part. I mean, who would imagine we come back to analog after getting digital with millions of transistors on a chip and talking today about 2nm process? Why do you think analog is a right option for complex math models as neural networks?

AT: First of all, we talking about neuromorphic analog, which is not like old style analog computers. We represent a trained neural network using analog neurons. The fundamental property of this structure is true parallel data processing.

Any digital system has step-by-step execution. But the human brain, one of the most power-efficient computation devices, uses parallel data processing. It is important to note that POLYN is mimicking not the central brain but peripheral systems. We are at the sensor level where the main idea is pre-processing, removing noise, extracting data, and here the analog is irreplaceable. Digital can go down in the process, but for Joule per Inference ratio, analog will win.

Q: Any more arguments for analog? F

AT: First of all, we are talking about neuromorphic analog, that represents a trained neural network using analog neurons. The fundamental property of this structure is true parallel data processing.

Any digital system has step-by-step execution. But the human brain, one of the most power-efficient computation devices, uses parallel data processing. It is important to note that POLYN is mimicking not the central brain but peripheral systems. We are at the sensor level where the main idea is pre-processing, removing noise, extracting data, and here the analog is irreplaceable. Digital can go down in the process, but for Joule per Inference ratio, analog will always win.

Q: Any more arguments for analog? For example, how do you resolve the analog implementation noise issue? What is the product deviation on the math model?

AT: The answer again lies in the term “neuromorphic,” as neural networks are implemented in a neuromorphic analog circuit. The point is that resilience to errors is a fundamental property of neural networks, and training increases the resilience.

Circuit non-idealities can be divided into two groups: random and systematic errors.

Systematic errors occur because a typical circuit implementation only approximates an ideal signal processing operation to a limited extent. Such errors are caused, for instance, by the non-linear operating characteristics of devices or by finite gain of an analog amplifier.

Stochastic errors may happen during the fabrication of integrated circuits and result in a random variation of the properties of the fabricated on-chip elements. These errors, however, can be modelled and addressed during development. For example, the mismatch between neighboring elements is usually much smaller than the variation of parameters’ absolute values. Therefore, differential architectures could significantly improve precision.

For an analog circuit design, it is important that such errors do not accumulate. For this, the neural networks are trained using special technology for error compensation

Q:  Interesting. Could tell us about the birth of POLYN and the idea of your technology?

AT: I met Dmitry Godovsky, our Chief Scientist, at the end of 2018. Dmitry worked eight years previously on a new math model of converting a digital neural net to a new implementation. After few months of discussion, we understood that this new model can be represented as a neuromorphic analog circuit. So, in April 2019 we launched POLYN Technology. Since then, we have constantly invested in know-how and innovation. Today we have 25 patents for the technology and products.

Q: Naturally, this raises the question: what about FABs? Could they run the fabrication immediately, or they need to adapt their processes? By the way, the same question applies for the PDK and EDA tools you are using for the chip development.

AT: Our strong advantage is that we are using any standard process in 40-65 nm range and can align our product libraries to any standard PDK. Our NASP compiler and physical design module work on top of existing standard EDA-based design flow. The output is a GDSII file ready for tape out immediately. Together with that we have developed our design as a BEOL, so the resistor layer is mask programmable and could be replaced independently to optimize cost and time to market. The EDA tool, we call it T-Compiler, is important for time to market today and for our business model in the future. Right now, we are selling chipsets and IP Blocks. By the way, we also see that the market of chiplet solutions could be covered, since SiPs (systems in package) are becoming increasingly these days.
But once the technology is proven and more customers see the advantage of NASP for medium and higher volume products, then our T-Compiler tool will be a part of our business model, enabling generation of application-specific Neuromorphic Analog chips for specific tasks.

Q: Great clarification, thanks. Let’s now talk in general about when you think it makes sense to convert a neural network into silicon. What applications are you covering by the NASP solutions?

AT: We focus on any type of one-dimensional signal preprocessing, such as voice, health care sensors, accelerometer, or vibration sensors. And some of our solutions you can evaluate already with simulation that enables evaluation of the chip before its synthesis, to reduce the chance of unexpected behavior. Anyone who is looking for always-on smart sensor data pre-processing is more than welcome to contact us and get access to our D-MVP simulation model. For example, voice extraction and voice detection for hearing assistance demos are functions and running already. So, customer can evaluate and start the design in advance to be ready when the first chip will come from the factory by Q2 of 2023. Customers can also influence the functionality if they are in time to catch the last changes, we are doing these days.

Q: And what is your product strategy?

AT: Three directions are in our scope of activities for 2023, wearables, hearables, and vibration monitoring for predictive machine maintenance. The first product is planned for mid-2023 and it is our voice extraction solution we announced a week ago. The name of the product line is NeuroVoice and it is intelligent voice extraction and processing for the next generation of smartphones, earbuds, hearing aids, microphones, smart speakers, and intercoms. POLYN’s NeuroVoice NASP chip solves the problem of communication in a noisy environment. This differs from noise cancellation and can answer such challenges as irregular noises like animal sounds, babies crying, and sirens. It also solves the problem if  the sound comes over the network already mixed with noise. Together with voice extraction, NeuroVoice offers a combination of voice management features such as voice activity detection, keyword spotting, and others. In addition, the product can be customized for special requirements.

Q: Was it easy to raise money? I know that the situation changes every time.

AT:  Raising money is never easy (smiling). Of course, we worked hard to communicate with investors. We have a few VCs joining us and several more currently in the due diligence process. That is where we anticipate value in joining the Silicon Catalyst incubator, with the increased exposure we will gain through the incubator’s huge portfolio of partners.

Q: What do you think about neuromorphic chips today? Those like Intel Loihi, BrainChip and others around?

AT: We can discuss other solutions and compare performances, but in general, I can say that in our opinion they are targeted to a more centric position on the edge, with power consumption of a hundred milliwatts to a few watts, but POLYN is focused on the micro-watt level of the thin edge.

Q: And the final question. As a visionary, how do you see the neural-network- on-chip market and ways of its development? Would it be digital, in-memory, or similar to NASP?

AT: For some time, I think, things will run in parallel, and each technology will try to find niches, but finally, in my opinion, the future lies in self-organizing structures, like NASP, but with different physical principles of neurons.

Q: On that note, thank you very much, Aleksandr.

AT: Thanks a lot for the opportunity, and let’s meet in mid-2023 when the first NeuroVoice chip will roll off the line.

Also Read:

CEO Interview: Coby Hanoch of Weebit Nano

CEO Interview: Jan Peter Berns from Hyperstone

CEO Interview: Jay Dawani of Lemurian Labs


Clock Aging Issues at Sub-10nm Nodes

Clock Aging Issues at Sub-10nm Nodes
by Daniel Payne on 10-20-2022 at 10:00 am

IC failure rate chart, clock aging

Semiconductor chips are all tested prior to shipment in order to weed out early failures, however there are some more subtle reliability effects that only appear in the longer term, like clock aging. There’s even a classic chart that shows the “bathtub curve” of failure rates over time:

IC failure rate chart

If reality and expectations don’t align in the wear out region, then the financial impact of recalling chips embedded inside of systems can cost millions of dollars or even cost human life in safety critical applications.

A 7nm SoC can have 10 billion transistors, and to meet the power spec there are many clock domains, and multi-voltage power domains; resulting in aging issues like jitter, duty cycle distortion, insertion delay, reduced design margins, and increased process variation. To predict the impact of transistor aging requires knowing the circuit topology, switching activity, voltages and even temperature – a complex goal.

Transistor aging comes about from a few effects: Hot Carrier Injection (HCI), Negative Base Temperature Instability (NBTI), Positive Base Temperature Instability (PBTI). Hotter temperatures accelerate these effects. The duty cycle impacts BTI effects, and frequency has a proportionate effect on HCI. With HCI there are charges that get trapped in the oxide layer of the transistor, changing the Vt of the devices permanently. The BTI effect is higher than the HCI effect for 7nm nodes, as shown in this chart of insertion delay, where the black line is a fresh circuit, while aging effects from HCI are orange, and BTI effects are in blue.

BTI and HCI Effects

IC design methodologies above 10nm used Static Timing Analysis (STA) and some SPICE simulations of the clock, along with guard-banding for parameters like jitter. Aging could be applied across all devices to provide an idea of the electrical and timing impacts.

Under 10nm designs require a more comprehensive analysis of clock aging impacts, and Infinisim has created a tool called ClockEdge that analyzes large clock networks efficiently. The ClockEdge tool automatically creates a transistor-level netlist for analysis, and then can simulate overnight to show you the fresh and aged results.

A new clock domain netlist is created from your existing files: Verilog, Lib, leaf cell definitions, constraints, SPEF. Simulation results are generated with full SPICE accuracy at your functional clock frequency for the fresh state. The clocks are then stressed as the second step of analysis. A third step is to use the aged clock domain netlist, run the full SPICE accurate simulation at the functional clock frequency and evaluate duty cycle distortion, insertion delay, rail to rail levels, and even clock slew rates. The difference between fresh and aged results tells the design team if they have a reliable design or not.

Delving into the first step, the fresh run analyzes the clock domain from the output of a PLL, all the way through to flip-flops or output pads. This clock domain can be quite large in size with millions of devices, and the transistor-level analysis results show us the delay and slew values.

Step 1: Fresh Run

The ClockEdge tool can run clock analysis for a fresh run on a block with 4.5 million gates, 517 million MOSFETs and 3.2 billion devices overnight, by using a distributed SPICE simulation approach. Your clock topology can be implemented as trees, grids and spines.

Step 2 is a stress run where specific transistors will be selected for aging, all depending on the circuit topology and if the clock is being parked (stuck at VDD or  VSS), or toggling. The stress run also depends upon temperature, voltage and duration per usage model.

The final analysis is Step 3, using the Aged devices. For the case of devices that had a parked clock value, then only one edge of clock will be affected during aging analysis, while devices with clock toggling will have both edges affected during aging analysis. So the Duty Cycle Delay (DCD) shape will depend on your circuit topology.

Step 3, Aged Simulation

With ClockEdge a designer can perform what-if stress analysis, comparing the impact of a clock parked at 0, parked at 1, toggling, or even a combination of parked and toggling.

Summary

Clock aging is a new reliability concern, especially for IC designs at sub-10nm process nodes. With proper analysis, the effects of aging can be mitigated. Infinisim has the experience in analyzing the effects of clock aging. The ClockEdge tool from Infinisim is focused on giving designers accurate aging analysis of their clock networks, providing results quickly overnight. You get to see both DC and AC stress conditions for your aged clock domains.

Related Blogs