Webinar 800x100 (1)

Bluetooth Smart radio IP, backed by ARM

Bluetooth Smart radio IP, backed by ARM
by Don Dingee on 03-29-2014 at 7:30 pm

For most devices, the on ramp to the Internet of Things means wireless, connecting a microcontroller or SoC via some kind of radio. It seems every merchant semiconductor company and embedded software firm has jumped on board the IoT wagon. There is a litany of chips, modules, operating systems, and protocol stacks already, and … Read More


Care and trimming of MEMS sensors

Care and trimming of MEMS sensors
by Don Dingee on 03-28-2014 at 2:00 pm

My first job in electronic design circa 1981 was making analog autopilots and control devices for RPVs – the early form of what today we call UAVs. A couple of really delicate boxes with gyroscopes, accelerometers, and magnetometers, and several boards full of LM148 quad op-amps surrounded by a lot of resistors and capacitors made… Read More


Social Media at Atrenta

Social Media at Atrenta
by Daniel Payne on 03-27-2014 at 11:01 pm

Atrentais well-known for their SpyGlass software that enables SoC engineers to run early design analysis on RTL code and create a hardware virtual prototype for analysis prior to implementation. Visiting their website you quickly see that social media plays an important role in connecting with engineers as links for Facebook,… Read More


Applied Power Electronics Conference & Exposition 2014: "Less Power"

Applied Power Electronics Conference & Exposition 2014: "Less Power"
by Bill Jewell on 03-27-2014 at 11:00 pm

On the television show “Home Improvement”, Tim Allen’s character always sought “more power” for whatever project he was working on. The theme of the Applied Power Electronics Conference and Exposition (APEC) 2014 could have been “less power”. APEC 2014 featured five days of seminars and sessions including professional education,Read More


IP Challenges, FinFET, 3D-IC, and FD-SOI Updates

IP Challenges, FinFET, 3D-IC, and FD-SOI Updates
by Daniel Nenni on 03-27-2014 at 10:00 am

Semiwiki is proud to be a sponsor of EDPS 2014:

April 17 & 18, 2014
Monterey Beach Hotel, Monterey, CA

Sponsored by:
IEEE Computer Society of Silicon Valley (CS-SCV)
IEEE Computer Society
Design Automation Technical Committee (DATC)
Council on Electronic Design Automation (CEDA)

The Electronic Design Processes Symposium… Read More


Early RTL Power Analysis and Reduction

Early RTL Power Analysis and Reduction
by Daniel Payne on 03-26-2014 at 4:48 pm

Power analysis and reduction for SoC designs is a popular topic because of our consumer electronics dominated economy, and the need to operate devices on a battery source for the maximum time before a recharge. Just from my desk I can see multiple battery-powered devices: Laptop, tablet, smart phone, e-book reader, bluetooth … Read More


Book review: “shift left” with virtual prototypes

Book review: “shift left” with virtual prototypes
by Don Dingee on 03-26-2014 at 1:00 pm

Shipping a product with complete software support at official release is a lot more difficult than it sounds. Inevitably, there is less than enough hardware to go around, and what little there is has to fill the needs of hardware designers, test and certification engineers, software development teams, systems integration teams,… Read More


NVM Express Solution is Mainstream

NVM Express Solution is Mainstream
by Eric Esteve on 03-26-2014 at 4:16 am

Non Volatile Memory (NVM) is a superb technology, at least if you appreciate the physical law behind: storing a data in an embedded location with no physical link, as you charge a cell by influence and read it without physically accessing the stored data. Although the semiconductor industry is building NVM IC for about 30 years now,… Read More


SNUG and IC Compiler II

SNUG and IC Compiler II
by Paul McLellan on 03-25-2014 at 4:04 pm

I have been at SNUG for the last couple of days. The big announcement is IC Compiler II. It was a big part of Aart’s keynote and Monday lunch featured all the lead customers talking about their experience with the tool.

The big motivation for IC Compiler II was to create a fully multi-threaded physical design tool that will scale… Read More


AMS Verification and Regression Testing of SoC Designs

AMS Verification and Regression Testing of SoC Designs
by Daniel Payne on 03-25-2014 at 10:02 am

Digital verification engineers on SoC designs have adopted many techniques to help ensure first silicon success: using compiled simulators, constrained random test, simulation farms, SystemVerilog methodology, and self-checking testbenches. AMS verification has tended to be ad-hoc or sharply divided into separate analog… Read More