Addressing nm Mixed-Signal Verification Challenges with Symphony – Powered by the AFS Platform

Register For This Web Seminar Online - Jun 30, 2020 8:00 AM - 9:00 AM US/Pacific Register Online - Jun 30, 2020 3:00 PM - 4:00 PM US/Pacific Register Overview In this session we provide an in-depth overview of Mentor’s recently launched Symphony Mixed-Signal Platform. Symphony is the industry’s fastest and most configurable mixed-signal solution …

WEBINAR: Analog Verification and Characterization with Monte Carlo and High-Sigma Analysis

Semiconductor companies designing ICs for smart phones, automotive and industrial applications, CPUs, GPUs and memory components all employ teams of custom IC designers to create the highest performance chips that are as small as possible, and at the lowest costs. Designers must verify and characterize their IP’s sensitivity to random parametric variations in the manufacturing …

WEBINAR: High-Speed SerDes PHY IP for Up to 800G Hyperscale Data Centers

If you are designing high-performance computing and networking SoCs for hyperscale data centers, then you require IP that enables large amounts of data to travel at very fast rates. Whether the IP is for true long reach or very short-reach die-to-die connectivity in multi-chip modules (MCMs), you must consider several essential features such as throughput, …

Keys to Achieving Maximum Throughput and Lowest Latency for PCI Express 5.0 and CXL Designs

Don’t miss this opportunity to hear from Synopsys’ IP senior executives and product experts on how to accelerate your high-performance computing SoC designs. Find out about the latest market trends that will help you make important design decisions. Learn how specific features of Synopsys’ IP enables you to achieve the required functionality for your chip and …

Fluent Meshing in 15 Minutes: Automotive External Aero

June 30, 2020 11 AM EDT / 3 PM GMT Venue: Online Attend this webinar to learn how Ansys Fluent can accelerate the meshing and solve time for automotive external aerodynamics applications. There will be a live demonstration showcasing: CAD import to volume mesh generation User-friendly task-based workflows How to customize and save your workflow …

Teaching Sustainable Development to Students with Social Impact Audit Tool

June 30, 2020 11:00 AM (EDT) / 3:00 PM (GMT) Venue: Online Product design involves the choice of materials, the processes used to shape them, transport modes, characteristics of the way the product is used and of its disposal at end of life. All of these have environmental, economic and social implications. Environmental life cycle …

Accelerate Post-Processing with Ansys EnSight

June 30, 2020 11:30 AM (IST) Venue: Online Analyze, visualize and communicate your simulation data with Ansys EnSight. Engineers use this powerful, general purpose post-processing tool to gain new design insights and then clearly and effectively sell their recommendations. Flexible EnSight can read and visualize data from most simulation tools — including Ansys solutions and …

Tessent Visualizer – Increase your productivity with less time spent on DFT debug

Register For This Web Seminar Online - Jun 30, 2020 5:00 PM - 6:00 PM US/Pacific Register Online - Jul 1, 2020 8:00 AM - 9:00 AM US/Pacific Register Overview Designed for billion-gate designs, Tessent Visualizer is helping DFT engineers be more productive by addressing key challenges of the most time-consuming DFT debug tasks. Included …

Webinar Series: Digital Implementation and Signoff

Webinar Series Webinars are chosen during registration Reduce Iterations, Achieve Faster Design Closure Time with Innovus Implementation and Tempus ECO Option Wednesday, July 1, 2020 15:00 UKT / 16:00 CEST / 17:00 EEST/IDT / 10:00 AM EDT Speaker: Thierry Sarrazin The Cadence® Tempus™ Timing Signoff Solution is integrated with the Innovus™ Implementation System where it …

In-System Safety and Reliability for Automotive SoCs using Innovative Memory IP

In the emerging era of large scale SoCs comprised from complex IP, typically designed for AI and automotive applications, designers must embrace an innovative approach to overcome numerous safety and reliability challenges. Therefore, the solution must be scalable, robust and Functional Safety (FuSa) aware, in addition to meeting fast-time to market aspect. This webinar presents …

Webinar: Improve Device Matching with Assisted Component P&R

Overview The increased analog content of today’s ICs needs more automation and reuse during the custom layout process. These circuits frequently use structures requiring precise matching of device characteristics. Module generators (ModGens) in the Cadence® Virtuoso® Layout Suite address these precise matching requirements in analog layout. They allow you to create highly matched arrays of devices directly …

Webinar: Accelerate Data Set Processing to Verify Custom and Mixed-Signal Designs

Overview  Simplify the exchange of data, boost your analytic capabilities, and shorten your design cycles. Using the integration of MathWorks MATLAB, Cadence® Virtuoso® ADE Product Suite, and Cadence Spectre® simulation platform , you can accelerate processing of your large data sets when verifying custom, RF, or mixed-signal designs. Join this webinar to learn how you can take advantage of …