WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 91
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 91
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)
            
image001 (16)
WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 91
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 91
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)

ASML Update SEMICON West 2023

ASML Update SEMICON West 2023
by Scotten Jones on 07-27-2023 at 10:00 am

At SEMICON West I had a chance to catch up with Mike Lercel of ASML. In this article I am going to combine ASML presentation material from the SPIE Advanced Lithography Conference, Mike’s SEMICON presentation, my discussions with Mike at SEMICON and a few items from ASML’s recent earnings call.

DUV

ASML continues to improve DUV systems. The new NXT:2100i has 4 new features to improve overlay and edge placement errors for future logic and DRAM.

  1. Distortion manipulator for Improved lens and cross matching provides more overlay correction control.
  2. Conditioned reticle library and new reticle heating control improve reticle overlay and throughput.
  3. Optical sensors PARIS improve overlay.
  4. 12 colors of alignment also improve overlay.

The net result is machine matched overlay improved to well under 1.3nm, see figure 1, and cross matched overlay of just over 1.1nm.

12494 34 Bart Smeets Supporting future DRAM overlay and EPE roadmaps with the NXT2100i Page 21

Figure 1. Machine matched overlay.

0.33NA EUV

From the just completed quarterly financial call, ASML has now shipped over 200 – NXE:3400/3600 systems. My count is 45 – NXE:3400B, 76 – NXE:3400C and 75 – NXE:3600D so I am missing a few systems. My count is based on ASML sales numbers and there is some delay between shipment and counting a sale. NXE:3600D either is/or will shortly be the system with the most units shipped.

From Q1-2014 to Q4-2019 system throughput increased by >17x! The NXE:3400C has achieved around 140 wafer per hour (wph) at a 30mJ/cm2 dose at customer sites, the NXE:3600D has achieved just over 160 wph at a 30mJ/cm2 at a customer site and 185 wph at ASML. The NXE:3800E is targeting >220 wph! See figure 2.

2023070713 Semicon West for f Page 06

Figure 2. EUV System Throughput.

NXE production keeps improving, in 2020 there was only 1 system in the world that produced over 0.5 million wafers in a calendar year, in 2021 that number increased to 15 and in 2022 to 51, see figure 3.

2023070713 Semicon West for f Page 07

Figure 3. EUV System Productivity.

The NXE:3800E targets >220 wph at 0.9nm Matched Machine Overlay, see figure 4.

2023070713 Semicon West for f Page 09

Figure 4. NXE:3800E Targets.

The first NXE:3800E shipment is targeted for Q4, see figure 5.

2023070713 Semicon West for f Page 10

Figure 5. NXE:3800E Shipment Status.

One big concern around EUV has always been the tremendous power draw of the systems. ASML continues to improve energy efficiency reducing energy per wafer by 3x, see figure 6.

2023070713 Semicon West for f Page 11

Figure 6. EUV Energy Efficiency.

0.33NA EUV systems are now firmly established as the tool of choice for the most critical layers on leading edge logic and DRAM parts with more layers changing to EUV with each new node.

High NA EUV

Single exposure patterning with 0.33NA EUV systems currently reaches approximately 30nm with further improvements expected as the process matures, but some EUV multi-patterning has been used at 5nm and 3nm logic processes. A higher NA tool improves the achievable single exposure pitch limit.

The first 0.55NA EUV system, the EXE:5000 is due to ship early 2024 with volume manufacturing in 2025. The EXE:5000 is a development system that will be built in limited numbers. The status is shown in figure 7.

2023070713 Semicon West for f Page 14

Figure 7. EXE:5000 Status.

There will be a High NA EUV demo lab at the ASML factory in Veldhoven in conjunction with imec later in 2023 with a tool running in early 2024.

The production High NA exposure tool will be the EXE:5200 with shipments due early 2025.

Hyper NA EUV

If pitches continue to shrink even the 0.55NA High NA exposure tools will eventually require multipattering and ASML is seriously discussing a “Hyper NA” tool with an NA of around 0.75NA, the specific NA has not been determined yet. A key question is when/if such a tool would be needed.

Conclusion

ASML continues a relentless program of improvement across their product line. Faster, more precise DUV and 0.33 NA EUV tools. Development of the forth coming 0.55NA High NA EUV tools and even looking beyond High NA to a possible Hyper NA tool.

Also Read:

Intel Internal Foundry Model Webinar

Applied Materials Announces “EPIC” Development Center

SPIE 2023 – imec Preparing for High-NA EUV

Share this post via:

Comments

2 Replies to “ASML Update SEMICON West 2023”

You must register or log in to view/post comments.