Webinar: Evaluating UCIe based multi-die SoC to meet timing and power

Webinar: Evaluating UCIe based multi-die SoC to meet timing and power
by Admin on 10-24-2022 at 2:06 pm

Description

Multi-die designs allow systems engineering to pack more functionality with different timing and power constraints into a single package. Older generation multi-die split the dies into high-speed and low speed. Newer, high-performance multi-die System-on-Chip (SoC) requires interaction between memories… Read More


The CHIPS and Science Act, Cybersecurity, and Semiconductor Manufacturing

The CHIPS and Science Act, Cybersecurity, and Semiconductor Manufacturing
by Simon Butler on 10-13-2022 at 10:00 am

CHIPS Act Logo

This year is proving to be a momentous one for U.S. semiconductor manufacturing. During a global chip shortage and record inflation, President Biden signed into effect the CHIPS and Science Act – which so far is the greatest boon to U.S. semiconductor manufacturing in history, with $52 billion in subsidies for chip manufacturers… Read More


Cadence TECHTALK: Best Practices to Achieve the Highest Performance using Xcelium Logic Simulator

Cadence TECHTALK: Best Practices to Achieve the Highest Performance using Xcelium Logic Simulator
by Admin on 10-12-2022 at 12:17 pm

Simulator performance is critical owing to the exponentially increasing complexity of SoC designs and shrinking market time. Cadence® Xcelium is a leader in simulation performance, and we focus relentlessly on improving the core performance of the simulator. We keep developing new performance optimizations that are delivered

Read More

WEBINAR: A Revolution in Prototyping and Emulation

WEBINAR: A Revolution in Prototyping and Emulation
by Daniel Nenni on 08-23-2022 at 6:00 am

MimicPro Picture

This webinar will introduce to you a revolutionary new way to do prototyping and emulation at best-in-class performance, productivity, and pricing by unifying the hardware and a new software stack so one system is capable of prototyping and delivering essential emulation functionality.

Register Here

The speed of Moore’s law… Read More


SoC Verification Flow and Methodologies

SoC Verification Flow and Methodologies
by Sivakumar PR on 08-18-2022 at 6:00 am

Electronic System

We need more and more complex chips and SoCs for all new applications that use the latest technologies like AI. For example, Apple’s 5nm SoC A14 features 6-core CPU, 4 core-GPU and 16-core neural engine capable of 11 trillion operations per second, which incorporates 11.8 billion transistors, and AWS 7nm 64-bit Graviton2 custom… Read More


Podcast EP92: The Impact of a Specification-Driven Correct-by-Construction Approach on Design and Verification with Agnisys

Podcast EP92: The Impact of a Specification-Driven Correct-by-Construction Approach on Design and Verification with Agnisys
by Daniel Nenni on 07-01-2022 at 10:00 am

Dan is joined by Anupam Bakshi, founder and CEO of Agnisys. Anupam has more than two decades of experience implementing a wide range of products and services in the high tech industry. Prior to forming Agnisys, he held various management and technical lead roles at companies such as Avid Technology Inc., PictureTel, Blackstone,… Read More


A Different Perspective: Ansys’ View on the Central Issues Driving EDA Today

A Different Perspective: Ansys’ View on the Central Issues Driving EDA Today
by John Lee on 06-14-2022 at 6:00 am

RedHawk SC uses Ansys SeaScape Big Data Platform Designed for EDA Applications

For the past few decades, System-on-Chip (SoC) has been the gold standard for optimizing the performance and cost of electronic systems. Pulling together practically all of a smartphone’s digital and analog capabilities into a monolithic chip, the mobile application processor serves as a near-perfect example of an SoC. But… Read More


Formal Verification of Registers and SoC Assembly in Collaboration with Jasper™ and OneSpin™

Formal Verification of Registers and SoC Assembly in Collaboration with Jasper™ and OneSpin™
by Admin on 04-26-2022 at 1:51 pm

Description
Automatic generation of System Verilog Assertions for block level register specification, chip-level RTL design aggregating leaf level design, and RTL wrapper connectivity checks at SoC level.

Apr 28, 2022 10:00 AM in Pacific Time (US and Canada)

REGISTER HERE

Read More