WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)
            
image001 (16)
WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)

SPIE Advanced Lithography Conference – Imec and Veeco on EUV

SPIE Advanced Lithography Conference – Imec and Veeco on EUV
by Scotten Jones on 04-19-2019 at 12:00 pm

At the SPIE Advanced Lithography Conference Imec presented several papers on EUV and Veeco presented about etching for EUV masks. I had the opportunity to see the presentations and speak with some of the authors. In this article I will summarize the key issues around EUV based on this research.

EUV is ramping up into high volume 7nm production at Samsung and TSMC, and Intel plans to introduce EUV with their 7nm process next year. Although EUV is ramping for 7nm there is still a lot of room for improvement in the technology and going forward 5nm and 3nm will introduce additional challenges.

The first paper I will discuss makes clear just how much we still don’t know about EUV.

Then a Miracle Occurs (John Petersen) Imec
EUV displays double stochastic cliffs, at small CDs there is a microbridges/missing contact cliff and at large CDs there is a broken line/merged contact cliff. If there is no defect free window between the cliffs the structure may be printable from a Rayleigh perspective but can’t be manufactured. If there are multiple CDs on a design, there needs to be overlapping failure free windows (this behavior is covered in more detail in another Imec paper described below). Figure 1 illustrates the double stochastic cliffs.

Figure 1. Double stochastic cliffs for EUV [1].

The basic failure rate follows a Poisson distribution and is related to absorbed photons but there is also a chemistry effect. One interesting comment John Petersen made when I interviewed him is the basic defect floor due to photon shot noise is ~10e-12, we are currently orders of magnitude above that.

Deep UV (DUV) photons such as KrF have a wavelength of 248nm and an energy of 5eV. At 5eV a photon can directly initiate a chemical reaction. EUV photons have a wavelength of 13.5nm and an energy of 91.6eV, at >10eV ionization occurs, <10eV is chemistry. Ionization is localized to atoms, but valence shell electrons can become delocalized from atoms <10eV and initiate chemistry. There are open questions about how a photon is absorbed, what ionization occurs, how does it form, how does it release, how does it transfer and ultimately how can we optimize molecular form. EUV requires 14x fewer photons than ArF leading to stochastics issues. With EUV dose to clear doesn’t stay clear, at high dose open areas can close again. There are a lot of low energy electrons generated in EUV and they have long diffusions lengths and can react later. We need to do something with the low energy electrons. Figure 2 contrasts DUV and EUV photoreactions.

Figure 2. DUV and EUV photo reactions.

Imec announced at the conference that in conjunction with KMLabs they would “Install High NA EUV Imaging and Attosecond Analytical Lab to Probe Lithography down to 8nm pitch”. The lab is designed to answer the fundamental questions about how EUV interactions take place and hopefully lead to better optimization of materials and processes.

In the next paper stochastic defects are investigated in more detail.

Stochastic Printing Failures in EUV Lithography, P. De Bisschop
Stochastic printing failures are random, non-repeating isolated pattern failures/defects that are believed to be caused by “photon-chemical stochastics”. Photon-chemical stochastics are not simply photon shot noise but also include the equally important molecular effects in the photoresist. The “different molecular constituents of the resist have a random distribution, are only available in limited numbers, move along random “trajectories”, and interact in a probabilistic way with photons, electrons and each other.” These stochastic defects are different in behavior and cause than traditional defects like clusters, line collapse, particles and process defects. Stochastic defects occur as random microbridges, broken lines, missing or merging contacts. These defects can be quantified by defect density or failure probability.

Referring back to figure 1, the failure probability exhibits two cliffs related to critical dimension with a micro bridge/missing contact cliff at small CD and a broken line/missing contact cliff at large CD. In order to attain a yielding process, the window between the two cliffs must be wide enough and the failure probability in that window must be low enough to achieve the required yield. The window that meets these requirements is referred to as the “stochastic Failure-Free CD window”. In order to characterize EUV processes there are three types of metrology that are used:

[LIST=1]

  • CD SEM can be used to characterize the high defectivity cliffs down to approximately the parts per million (ppm) defect levels. The CD SEM can measure approximately 0.68 million contacts/hour.
  • E-beam inspection can be used for larger areas with reduced sensitivity and higher beam currents but still only provides ~parts per billion (ppb) levels of detection and still can’t insure yield. 104 million contacts/hour inspection was achieved using the latest Hitachi eBI tool.
  • Optical inspection can cover sufficiently large areas to assess yield but may not have the required sensitivity. Imec has shown optical inspection can find microbridges on etched wafers.

    The paper went on to summarize some recent Imec results exploring stochastics, but before discussing the results, Imec clearly stated in the paper that these are example results. That Imec’s environment is not as clean as a high-volume manufacturing fab and that may limit what they are able to achieve.

    Figure 3 illustrates the stochastic cliffs for dense structures (a) and for non-dense structures (b). In the case of non-dense structures there is only a singe patterning cliff on the small CD side.

    Figure 3. Dense patterns with double sided defect cliffs (a) and
    non-dense patterns with single sided defect cliff (b).

    In figure 4 an example of a 40nm pitch is shown. On the left side (a) the defect cliffs are characterized solely by a CD measurement tool limiting the minimum failure rate that can be measured. On the right side (b) an e-beam inspection eBI tool is used to measure lower failure rates. Note that the two cliffs touch at around 1ppm and although the process is within the Rayleigh limits for EUV, the process cannot achieve acceptable yield.

    Figure 4. 40nm pitch pattern evaluation.

    If the pitch is increased to 42nm now the defect cliffs move further apart, and the minimum defect rate moves down to around 1ppb possibly achieving acceptable yield depending on the process requirements.

    Figure 5. 42nm pitch pattern evaluation.

    Figure 4 and 5 are not meant to illustrate any absolute limits for EUV but rather to show the general behavior and characterization techniques needed to characterize the process performance. The key message here is a that CD SEM can measure down to ~1ppm and eBI can measure down to ~1ppb. Some applications may require down to ~1 part per trillion and may need an optical assessment tool.

    Figure 6 illustrates dense line/spaces characterized with an optical inspection tool. Imec has previously shown the optical inspection can find microbridges of etched wafers such as was used here.

    Figure 6. Dense line/spaces and the microbridge floor.

    The left side of the figure shows the window for 32, 34 and 36nm pitch lines/spaces. The most interesting part is the right side that shows a minimum defect floor of microbridges even at larger CDs.

    Further investigation shows that even though the cliffs are highly dependent on the pitch being printed, the defect floor is largely independent of pitch, see figure 7.

    Figure 7. Defect floor versus pitch.

    Looking at the micro-bridge floor, the defect size is found to be centered at 15nm with a tail out to about 60nm. The distribution is independent of pitch.

    Evaluating three different photoresists the micro-bridge cliff was found to be dependent on the photoresist but the broken line cliff was not. All three photoresist were positive tone develop – chemically amplified resists and doses varied from 37 mJ/cm2 to 65 mJ/cm2. Two of the resists had the same micro-bridge defect floor and one resist had a higher floor. The higher floor is believed to be due to a difference in dispensing technique that meant that the third resist wasn’t filtered. The third resist also showed a slightly larger peak micro-bridge size at 20nm versus 15nm.

    The paper went on to discuss several potential mechanisms. Since the defect floor sets a maximum achievable yield this is an area that needs more research.

    The next paper discussion a mitigation technique for EUV defects.

    Impact of SIS on roughness and stochastic nano-failure for EUVL patterning – Pieter Vanelderen
    In addition to stochastic defects, challenges with EUV also include achieving acceptable pattern roughness at low doses and photoresist etch resistance.

    Sequential Infiltration Synthesis (SIS) is an atomic layer deposition (ALD) like technique that smooths and hardens the photoresist, see figure 8.

    Figure 8. Sequential Infiltration Synthesis

    The objectives for SIS are:

    • Roughness improvement through higher etch selectivity.
    • Stochastic defect mitigation by healing micro-breaks.
    • Local CD uniformity (LCDU) improvement.
    • Apply SIS to industrially relevant cases.

    In order to employ SIS the underlayer stack must be tuned to be SIS compatible with Spin-On-Glass (SOG) being the preferred underlayer.

    A comparison of the etched pattern quality between an SIS and non-SIS process shows a 10% improvement for the SIS process, see figure 9.

    Figure 9. SIS versus non SIS process.

    SIS also opens up the window between the two stochastic cliffs, see figure 10.

    Figure 10. Window between the stochastic cliffs with and without SIS.

    In summary, SIS has shown:

    • 10% improvement in roughness for etched features.
    • A 2 order of magnitude reduction in micro breaks.
    • A 20-30% improvement in local CD uniformity (LCDU)
    • 20% smaller T2T .
    • Reduced logic clip defectivity.

    Still to be addressed is improved roughness on isolated features.

    The final paper I will cover here concerns improved EUV masks.

    Ion Beam Etching new absorber materials for sub 5nm EUV Masks – Narasimhan Srinivasan
    Whereas DUV masks are transmissive, EUV masks are reflective masks. Currently EUV masks have a reflective layer made up of 40 mirror pairs of molybdenum/silicon each approximately 3nm thick covered by a patterned tantalum nitride absorber layer 60 to 70nm thick. The EUV radiation arrive at a 6-degree angle and as feature size shrink shadowing at the edge of the absorbers will be a growing problem. High NA EUV tools will also increase the arrival angel to 9-degrees increasing the problem.

    In order to go to a thinner absorber layer to reduce shadowing a higher-k material is needed with Nickel (Ni) and Cobalt (Co) alloys showing the most promise. The problem is nickel and cobalt alloys have low volatility in Reactive Ion Etch (RIE) systems.

    Figure 11. High-k EUV absorber material challenges.

    Ion beam etching is the process of choice for Co and Ni. The characteristics of ion beam etching include:

    • Ability to etch virtually any material with no chemistry dependence (physical etch).
    • The same etch rate for dense and isolated features.
    • Anisotropic etch (directional).
    • Low temperature and energy down to 50 volts for low ion damage.

    Veeco has shown that ion beam etching is viable for Co and Ni patterning.

    Conclusion
    With Samsung and TSMC ramping 7nm EUV processes into production, the era of EUV has begun. While EUV is successfully producing yielding 7nm wafers there is still a lot of work to do. As processes evolve to 5nm and 3nm stochastics defects, line roughness, dose, etch resistance, pellicles and EUV masks will all need to improve. The work described here from Imec and Veeco are important steps towards enabling EUV for future processes.

    [1] Peter De Bisschop, “Stochastic printing failures in extreme ultraviolet lithography,” J. Micro/Nanolith. MEMS MOEMS 17(4), 041011 (2018), doi: 10.1117/1.JMM.17.4.041011

    Share this post via:

  • Comments

    There are no comments yet.

    You must register or log in to view/post comments.