Seminar: Mentor Forum for Tessent DFT 2019 India

Radisson Blue, Bengaluru Bengaluru, India

Overview Test for the Autonomous Age The seminar will focus on three key test challenges IC vendors face as they try to make the promises of the autonomous age a reality. Implementing DFT on the very large designs and new compute architectures that are required for efficient AI and machine learning Achieving high test quality …

Accelerate DFT Simulations with Xcelium Multi-Core Technology

Overview High-performance DFT simulation is key to completing today's complex systems on chip (SoCs) on schedule. Because most simulators were developed before the multi-core era, they process Verilog code in a single thread, managing a single active queue of events and handling them one at a time. The serial method in which simulators operate means …

How to perform large-scale and accurate Density Functional Theory (DFT) simulations with QuantumATK

Online

Join our FREE online event to learn how to perform large-scale, accurate and reliable density functional theory (DFT) simulations with the QuantumATK platform: - Discover how to perform accurate and reliable large scale DFT simulations – even at the hybrid functional level - with Linear Combination of Atomic Orbital basis set using modest computational resources. …

Webinar: Implementing DFT in 2.5/3D designs using Tessent Multi-die software

Online

Next-generation devices increasingly feature complex architectures that connect dies vertically (3D IC) or side-by-side (2.5D) so they behave as a single device. The new Tessent Multi-die software delivers comprehensive automation for the highly complex DFT tasks associated with these 2.5D and 3D IC designs. Tessent Multi-die software automates the generation and insertion of IEEE 1838 …

CadenceTECHTALK: What’s New – Enhanced Design Features with Cadence Modus DFT, ATPG, and Diagnostics

Online

Time: 09:00 BST / 10:00 CEST / 11:00 EEST & Israel / 13:30 IST The latest 22.1 release of the Cadence® Modus DFT Software Solution contains many new and improved features and capabilities. Join us for this CadenceTECHTALK where you will learn all about the new power, performance, and area (PPA) improvements that Cadence Modus DFT …

Webinar: Comprehensive Static Verification for FPGA and ASIC RTL Designers

Online

As designs get increasingly complex, design teams are looking to find bugs earlier, to reduce rework and shorten time-to-market. The ultimate “shift left” is to put easy-to-use static verification in the hands of RTL designers to eliminate bugs at their source. This webinar covers comprehensive static verification capabilities in the Cadence® Jasper™ Superlint and CDC apps for …

Webinar: Making the Right Connections – Taking the Guess Work out of DFT Connectivity Validation

Online

Synopsys Webinar: Tuesday, November 28, 2023 | 10-11 am. PT System-on-Chip (SoC) designs continue to grow in both size and complexity in order to meet the ever-growing performance and power demands associated with modern technology. To keep up with this fast-paced evolution, the corresponding design-for-test (DFT) logic required for manufacturing tests has also become more …

Webinar: Accelerate time to success using smart methods for DFT chip architecture and validation

Online

Combining market-leading design-for-test (DFT) technologies with best-in-class netlist synthesis allows you to achieve DFT success more quickly. Many customers, including those for emulation and IC test, have challenges with scaling architectures. This webinar describes how Siemens emulation and silicon test solutions can work together to provide a smart DFT plug-and-play architecture for Veloce ICs. The …