2023 Signal & Power Integrity (SIPI) SIG

Hilton Santa Clara 4949 Great America Pkwy, Santa Clara, CA, United States

What is SIPI SIG? This event provides the opportunity for networking and proactive discussion with SIPI engineers to increase awareness of signal and power integrity issues within a forum for engaging dialog and education. Synopsys SIPI SIG is for Synopsys customers, and partners to update the audience about their offerings as well as for Synopsys …

Webinar: Achieving Consistent RTL Power Analysis Accuracy

Online

*Company email required for registration* Register Transfer Level (RTL) power analysis, performed early in the design cycle, is a key component of end-to-end methodology to maximize energy efficiency. Such analysis has become a critical requirement for many IC designs today and in the future. Although RTL power analysis technology has been available to designers for …

DVClub Europe – Best Conference Papers from 2022

This course will be held Online

Best Conference Papers from 2022 These papers are selected from DVCon and CadenceLive! in 2022 as being most relevant to the DVClub Europe community. Agenda (GMT) 12:00 Welcome and Introduction – Mike Bartley, Tessolve 12:00 Lukas Junger, MachineWare GmbH- SIM-V – Fast, Parallel RISC-V Simulation for Rapid Software Verification 12:30 Josue Quiroga, Barcelona Supercomputing Centre (BSC), Spain; …

Webinar: Find More Bugs, Hit the Most Difficult Scenarios Faster

Online

Register for CadenceTECHTALK to find out how to achieve verification closure with the same coverage with up to a 10X reduction in simulation cycles. Chips are becoming bigger and more complex, adding to already existing verification woes. Design and verification engineers struggle with running billions of regression cycles to achieve the desired target coverage and …

CadenceTECHTALK: Find Elusive Bugs Faster with Xcelium ML

Online

Crack the Verification Double Trouble! Register for CadenceTECHTALK to find out how to achieve verification closure with the same coverage with up to a 10X reduction in simulation cycles. Chips are becoming bigger and more complex, adding to already existing verification woes. Design and verification engineers struggle with running billions of regression cycles to achieve …

Webinar: Ansys 2023 R1: Ansys Speos What’s New

Online

Ansys Speos pushes the innovation envelope to deliver accurate, high-performance simulation capabilities for optics designers. The 2023 R1 Release delivers powerful capabilities that speed time to result, improve simulation accuracy, and expand interoperability with other Ansys products. TIME: FEBRUARY 8, 2023 11 AM EST About this Webinar Ansys Speos pushes the innovation envelope to deliver …

Synopsys VC Formal DPV Virtual Workshop Series Day 2

Online

Day 1 (February 1, 2023) of this workshop series will cover practical knowledge of the best datapath verification strategies and provide hands-on experience with the industry's best-in-class datapath validation app – Synopsys VC Formal DPV. This workshop includes a featured session presented by Theo Drane, Datapath Architect at Intel, who will share his experience on how …

Webinar: How Multiphysics Simulation Guides Decisions for Electric Motor Design

Online

This webinar will discuss the benefits of a multiphysics approach to electric motor design and explore different simulation solutions. We’ll share relevant examples and case studies with electric motor design optimization results. Save your spot for this presentation today. TIME: FEBRUARY 9, 2023 9 AM EST / 2 PM GMT / 3 PM CET / …

Webinar: Modelling of Thermal Signatures from FEA and CFD Simulations

Online

Thermal signature modelling is one of the most challenging steps on the EOIR mission simulation thread; depending on the materials it's made of and the heat loads a target is exposed to, it will emit radiation at different rates withing the EM spectrum. This emissivity profile can be virtually modelled by connecting thermal and optical …

Ansys 2023 R1: Ansys Minerva and Simulation Process Data Management (SPDM) Update

Online

The 2023 R1 release of Minerva improves engineering productivity with an efficient simulation process, data management, and an easier way to manage data across tasks performed by development teams. Register today for this webinar. TIME: FEBRUARY 9, 2023 11 AM EST About this Webinar Learn about the latest innovations of Ansys Minerva and the value …

Webinar: Five Challenges you Can Solve with Better Material Selection

Online

Making better-informed material choices early in design is ideal for solving various engineering challenges. This upcoming webinar looks at the tradeoff between different material properties and the technical, economic, and environmental data inside Ansys Granta. TIME: FEBRUARY 9, 2023 11 AM EST / 4 PM GMT / 9:30 PM IST Venue: Virtual About this Webinar …

Webinar: Implementing DFT in 2.5/3D designs using Tessent Multi-die software

Online

Next-generation devices increasingly feature complex architectures that connect dies vertically (3D IC) or side-by-side (2.5D) so they behave as a single device. The new Tessent Multi-die software delivers comprehensive automation for the highly complex DFT tasks associated with these 2.5D and 3D IC designs. Tessent Multi-die software automates the generation and insertion of IEEE 1838 …