WP_Term Object
(
    [term_id] => 12369
    [name] => Information Network
    [slug] => information-network
    [term_group] => 0
    [term_taxonomy_id] => 12369
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 15
    [filter] => raw
    [cat_ID] => 12369
    [category_count] => 15
    [category_description] => 
    [cat_name] => Information Network
    [category_nicename] => information-network
    [category_parent] => 14433
)
            
Information Network Banner SemiWiki
WP_Term Object
(
    [term_id] => 12369
    [name] => Information Network
    [slug] => information-network
    [term_group] => 0
    [term_taxonomy_id] => 12369
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 15
    [filter] => raw
    [cat_ID] => 12369
    [category_count] => 15
    [category_description] => 
    [cat_name] => Information Network
    [category_nicename] => information-network
    [category_parent] => 14433
)

Applied Materials Will Regain Semiconductor Equipment Lead From ASML in 2020

Applied Materials Will Regain Semiconductor Equipment Lead From ASML in 2020
by Robert Castellano on 11-29-2020 at 10:00 am

On December 2, 2019, I posted a SemiWiki article entitled “ASML Will Take Semiconductor Equipment Lead from Applied Materials in 2019.”Since losing its dominance for the first time since 1990 in 2019, Applied Materials is poised to lose its retake the 2020 lead in the semiconductor equipment market. ASML led the global wafer front end market in 2019 on the strength of its shipments of pricy EUV lithography equipment, according to The Information Network’s report “The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts.” But a lackluster Q1 2020, when quarter-on-quarter revenue growth decreased 31%, was largely responsible to the company losing market share to Applied Materials for 2020.

The chart below shows individual market shares for the top five individual equipment companies.  Applied Materials increased its market share to 16.4% in 2020 from 15.9% in 2019. ASML, which held a 16.9% share in 2019, will drop  to a 15.4% share in 2020.

2020 WFE Share 1

Applied Materials competes directly with several companies:

  • ASML in metrology/inspection
  • Lam Research in deposition and etch
  • Tokyo Electron in deposition and etch
  • KLA in metrology/inspection

Lam’s market share will increase to 10.8% in 2020 from  10.6%in 2019, due to the company’s high exposure to memory, and in particular NAND, which is being impacted by low ASPs and high inventory overhang. Tokyo Electron’s market share will increase to 12.3% in 2020 from 11.7% in 2019 because of the company’s dominance in photoresist processing and dielectric etch systems.

KLA’s market share will increase to 6.2% in 2020 from 5.4% in 2019. Metrology/inspection equipment is critical to assuring high yields during semiconductor manufacturing, particularly as new technology nodes are reached. Metrology systems are used to measure parameters such as thin film thickness or linewidths, and inspection systems are used to detect defects and monitor abnormalities in production.

Based on an overall WFE market in 2020 of $70 billion, the top five companies will have gained market share in 2020, while the remaining smaller competitors as a whole will decrease market share of the overall market from 39.6% in 2019 to 38.8% in 2020.

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.