WP_Term Object
(
    [term_id] => 12369
    [name] => Information Network
    [slug] => information-network
    [term_group] => 0
    [term_taxonomy_id] => 12369
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 15
    [filter] => raw
    [cat_ID] => 12369
    [category_count] => 15
    [category_description] => 
    [cat_name] => Information Network
    [category_nicename] => information-network
    [category_parent] => 14433
)
            
Information Network Banner SemiWiki
WP_Term Object
(
    [term_id] => 12369
    [name] => Information Network
    [slug] => information-network
    [term_group] => 0
    [term_taxonomy_id] => 12369
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 15
    [filter] => raw
    [cat_ID] => 12369
    [category_count] => 15
    [category_description] => 
    [cat_name] => Information Network
    [category_nicename] => information-network
    [category_parent] => 14433
)

ASML Will Take Semiconductor Equipment Lead from Applied Materials in 2019

ASML Will Take Semiconductor Equipment Lead from Applied Materials in 2019
by Robert Castellano on 12-02-2019 at 10:00 am

For the first time since 1990, Applied Materials is poised to lose its lead in the semiconductor equipment market, according to my recently published report “The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts.

Applied Materials, which has been losing market share in the wafer front end (WFE) equipment market for the past three years, is poised to lose its lead in 2019. ASML will take over the lead on the strength of its shipments of pricey EUV lithography equipment.

The chart below shows market shares for the top five individual equipment companies.  Applied Materials, which had a market share of 19.2% in 2018 (down from 23.0% in 2015), will increase its share of the total market slightly to 19.4% in 2019. However, ASML, which held an 18.0% share in 2018, will jump to a 21.6% share in 2019.

ASML Will Take Semiconductor Equipment Lead from Applied Materials in 2019

ASML Will Take Semiconductor Equipment Lead from Applied Materials in 2019
ASML Will Take Semiconductor Equipment Lead from Applied Materials in 2019

Applied Materials competes directly with several companies:

  • ASML in metrology/inspection
  • Lam Research in deposition and etch
  • Tokyo Electron in deposition and etch
  • KLA in metrology/inspection

Lam’s market share will decrease from 15.6% in 2018 to 14.2% in 2019, due to the company’s high exposure to memory, and in particular NAND, which is being impacted by low ASPs and high inventory overhang. Also, ASML’s EUV lithography systems minimizes the need for deposition and etch equipment used in multiple patterning with DUV lithography.

Tokyo Electron’s market share will decrease from 15.6% in 2018 to 14.8% in 2019. The company recently reported its consolidated financial results (cumulative) for the first half of the current fiscal year were:

  • Net sales of 508,442 million yen (year-on-year decrease of 26.4%)
  • Operating income of 102,454 million yen (year-on-year decrease of 41.6%)
  • Ordinary income of 106,692 million yen (year-on-year decrease of 41.1%)
  • Net income attributable to owners of parent of 78,722 million yen (year-on-year decrease of 41.8%).

KLA’s market share will increase from 6.2% in 2018 to 6.9 in 2019. Metrology/inspection equipment is critical to assuring high yields during semiconductor manufacturing, particularly as new technology nodes are reached. Metrology systems are used to measure parameters such as thin film thickness or linewidths, and inspection systems are used to detect defects and monitor abnormalities in production.

Based on a modest recovery of 5% in the overall WFE market in 2020 and on capex spends planned by semiconductor manufacturers, ASML will increase its market share in 2020 to 22.8%, while Applied Materials will maintain its share of 19.3%.

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.