WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)
            
image001 (16)
WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)

SPIE Advanced Lithography Conference – ASML EUV Update

SPIE Advanced Lithography Conference – ASML EUV Update
by Scotten Jones on 03-23-2019 at 12:00 am

At the SPIE Advanced Lithography Conference ASML gave an update on both the current 0.33NA system and the 0.55 high-NA system development. I saw the presentations and got to sit down with Mike Lercel (Director of Strategic Marketing).

0.33 NA System

In the 0.33 NA system presentation ASML began by noting that TSMC is reported to be starting production of their 7nm FFP process with EUV in March of this year and that Samsung is already ramping their 7nm process with EUV. ASML now has 40 EUV systems in the field and by the end of 2019 should be producing 40,000 wafer moves per day and by the end of 2020 that should roughly double to around 80,000 wafers moves per day.

Minimum pitches are 30nm to 40nm at 7nm, 24nm to 28nm at 5nm and 12nm to 22nm at 3nm. NXE:3400B can meet 5nm logic requirements.

ASML is doing a lot of work on EUV productivity to improve tool speed, availability and pellicle transmission. Figure 1 illustrates the timing to go from the current 125 wafers per hour (wph) to 155wph with improvements to the current NXE:3400B systems and then 170wph and eventually 185wph with the new NXE:3400C and improved NXE:3400C systems. Authors note: these throughputs are based 20mJ/cm2 doses for logic, I believe current average doses are twice that.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 1. EUV Productivity Roadmap.

Currently EUV system productivity is approximately 1,000 wafers per day (wpd) with over 2,000 wpd demonstrated.

The NXE:3400C system is expected to ship in the second half of 2019 and improve throughput to 170wph. Figure 2 summarizes the throughput improvements in the NXE:3400C.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 2. NXE:3400C system improvements.

The NXE:3400B is showing CD Uniformity, Focus Uniformity, Dose Performance and Machine Overlay consistent with requirements for 5nm logic and 1y DRAM production.

Source power greater than 250 watts with average dose performance well above 99.99%.

Collector degradation is currently between 0.1 to 0.3% per giga pulse (GP) with <0.1% shown at the ASML factory.

2018 saw average NXE:3400B availability of only around 40% for a thirteen-week average, by the end of the year the average availability was around 74%, for 2019 the goal is to get to 90%. Modular vessel and CO2 improvements on the NXE:3400C cuts the scheduled downtime in half versus the NXE:3400B.

ASML continues to address front-side reticle defects with a two-fold approach. The first is to keep the system clean and the second is to implement pellicles. Clean system added particles have shown consistent progress from 2016 to today, see figure 3.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 3. Recticle -front-side defectivity trend.

Pellicle transmission has made a jump from the 78% to 80% range to >83%. Reflectivity of the pellicles has been controlled to 90% is still needed for wide-spread pellicle adoption and this remains a goal.

The presentation included slides on strategies to mitigate stochastics defects but I will discuss this in more detail in my Imec summary. Over the last six years dose and line width roughness (LWR) have improved by a factor of two.

In summary EUV is ramping into production now for 7nm logic and ASML has further enhancements under way to improve throughput and availability with the new NXE:3400C systems.

EUV Power Source
EUV source power has now reached 250 watts in the field and in the research lab close to 400 watts has been shown. Figure 4 illustrates the source power trend and uptime.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 4. EUV Power Trend.

As mentioned previously there are now 40 systems in the field with a capacity to add >30 and >40 more systems in 2019 and 2020 respectively. Figure 5 illustrates system shipments and cumulative systems in the field.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 5. EUV System Shipments.

The availability losses for the EUV source can be broken down into seven categories with droplet generator followed by the collector mirrors the largest sources of loss. Figure 6 illustrates the source of availability loss in the EUV source.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 6. EUV Source Availability Losses.

The new NXE:3400C system addresses all seven sources of availability loss with a target to get to >95% availability.

From figure 6 it can be seen that the droplet generator is the largest source of availability loss. Figure 7 illustrates improvements in the droplet generator lifetime with 1,000 hours at the end of 2018 and 1,500 hours expected in late 2019.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 7. Droplet Generator Lifetime.

In summary the new NXE:3400C source improves services time, eliminate the need to stop to refill the droplet generator, better protects the collector for improved lifetime and improves the CO2 chain for faster recovery. All of these improvements are expected to significantly improve source availability.

Research continues to work towards a 500 watt EUV source with burst power of 450 watts shown.

0.55 NA system
With the EUV production ramp underway, ASML is working on the next generation nigh-NA EUV systems to improve resolution for single exposures. Increasing NA from 0.33 to 0.55 reduces the smallest feature that can be resolved by 0.6x.

The optical design of the high-NA system is done and is compatible with the existing mask infrastructure. Early high-NA designs required larger reticles than can be produced with current mask making equipment, but the new system design uses different reduction ratios (anamorphic) in the x and y direction and can use existing mask sizes.

The optical design coupled with a new stage can offer throughput of approximately 185 wph. 185wph requires 4x the wafer stage acceleration and 2x the reticle stage acceleration of current systems.

ASML has support for the system from three customers with options to buy up to 12 systems.

The new system architecture is available. The system is larger than current system but modular for easier maintenance. Figure 8 illustrates the high-NA system architecture.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 8. High-NA system architecture.

The high-NA optics design enables higher optical transmission and improved waveform/imaging performance. Figure 9 illustrates the optical design for the 0.55 high-NA optics versus the 0.33 optics.

23170-progress-0.33-na-euv-systems-high-volume-manufacturing_roderik-van-es_page_06.jpg

Figure 9. High-NA optics.

High-NA reduces available depth of focus to about one-third of the 0.33 NA systems and requires improved focus control. The new tool has water cooling of the chuck to support doses above 60mJ/cm[SUP]2[/SUP].

Reliability and particle testing of the various pieces of the system is underway at ASML. ASML’s partner Zeiss has begun the mirror manufacturing process and the high-NA surface metrology system install is underway and due to be completed this year.

All four key sites for high-NA will be getting upgrades. ASML Wilton will see groundbreaking this year, ASML Veldhoven system integration factory design has started, Zeiss Oberkochen expansion for optics manufacturing is underway and Cymer SanDiego where the source lasers are made is getting a height increase for the high-NA source lasers.

ASML expects to ship the first system by the end of 2023.

The high-NA system is targeted to extend EUV for 3nm logic and beyond.

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.