WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)
            
image001 (16)
WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)

SPIE Advanced Lithography Conference 2023 – AMAT Sculpta® Announcement

SPIE Advanced Lithography Conference 2023 – AMAT Sculpta® Announcement
by Scotten Jones on 03-13-2023 at 8:00 am

The SPIE Advanced Lithography Conference is the semiconductor industries premier conference on lithography. The 2023 conference was held the week of February 27th and at the conference Applied Materials announced their Sculpta® pattern shaping tool. Last week I had an opportunity to interview Steven Sherman the Managing Director and General Manager of the Advanced Products Group and discuss the new tool.

Introduction

The resolution of an exposure system is given by the Rayleigh Criteria:

R = k1λ/NA

where k1 is a process related factor, λ is the exposure wavelength and NA is the optical system numerical aperture.

The semiconductor industry is continually driving to smaller dimensions to enable greater transistor/bit density. With EUV delayed for many years, DUV was extended by a variety of techniques where multiple exposures were combined to create a higher resolution pattern than a single exposure could produce. Once EUV entered production multi patterning was in many cases replaced by a single EUV exposure.

From the Rayleigh Criteria the ultimate resolution for the current 0.33 NA EUV systems should be approximately 20nm but we are currently far from realizing that. ASML tests EUV system at the factory to 26nm on a flat wafer with a simple one-dimensional pattern but in production 30nm is the current practical limit and even then, there are circumstances where tight tip-to-tip requirements can require an extra cut or block mask. With a single EUV exposure tip-to-tip spacings are currently limited to approximately 25 to 30nm and a second EUV mask is required to get to a 15 to 20nm tip-to-tip. Next generation processes will require several EUV multi patterning layers. the Sculpta® tool is designed to address this situation.

Applied Materials Presentation

In their presentation Applied Materials describes two common cases where two EUV masks are used to create a pattern. The first is where dense interconnect lines are formed by an EUV mask and then a second EUV mask is used to cut or block the pattern to achieve tight tip-to-tip in the orthogonal direction. The second case is where one EUV mask is used to create an array of elongated contacts and then a second EUV mask is used to create a second array of contacts with tight tip-to-tip spacing relative to the first array. Elongated contacts are desirable for reduced sensitivity to placement errors versus lines.

In their presentation Applied Materials illustrates a simplified Litho-Etch Litho-Etch process flow that uses two EUV masks combined with two etches to create a pattern, see figure 1.

Applied Materials Sculpta Presentation for Media Page 06

Figure 1. EUV Double Patterning Process Flow.

In figure 1, two litho-etch passes are illustrated. In each pass a deposition step deposits a film, the film is planarized, a lithography pattern is formed and measured, the pattern is etched into the film and then cleaned and measured again. Applied materials characterize each litho-etch pass as costing approximately $70.

I write for SemiWiki as a sideline to my “day job” building cost models for the semiconductor industry. A single EUV exposure cost about 2x the $70 cost listed for the entire litho-etch pass, the overall litho-etch pass cost is several times what Applied Materials is conservatively estimating as $70. Eliminating an EUV exposure with associate processing has a lot of value.

The Sculpta® tool is an etch tool built on the Applied material Centura® platform that uses an angled reactive ribbon beam to elongate a pattern in a hard mask. The two examples discussed were:

  1. Form a grating of lines and spaces with a relatively wide tip-tip spacing then use the Sculpta® tool to shrink the tip-to-tip, see figure 2.
  2. Form a dense array of round contact holes and then use the Sculpta® tool to elongate the contact holes, see figure 3.

In both cases a Litho-Etch – Litho-Etch process with two EUV exposures and associated processing is reduced to a single EUV Litho-Etch process followed by a Scultpa® tool shaping process.

Applied Materials Sculpta Presentation for Media Page 07

Figure 2. Pattern Shaping of Interconnect Lines.

Applied Materials Sculpta Presentation euv spie

Figure 3. Pattern Shaping of Contacts.

Figure 4 illustrates the Sculpta® tool, it is a Centura® cluster tool with four ribbon beam etch chambers.

Applied Materials Sculpta Presentation euv

Figure 4. Centura® Sculpta® tool.

Applied Materials stated that the Sculpta® tools is the tool of records for multiple layers at a leading-edge logic customer and they included positive quotes from Intel and Samsung in their press release.

Analysis

The first thing to understand is the Sculpta® tool is addressing tip-to-tip and is not a general resolution enhancement solution. If you need resolution below 30nm today you would still be looking at EUV multi patterning, for example EUV based SADP. Sculpta® does not “fix” the fundamental 0.33 NA EUV limitations and does not eliminate the needs for High-NA EUV tools in the futures. It can however eliminate some EUV masks used to create tight tip-to-tip, this can help alleviate the EUV exposure system shortage and save on cost, process complexity and possibly environmental impact.

This brings up the question of cost savings. A standard 4 chamber cluster tool etcher should have a cost in the ten-million-dollar range. The Sculpta® tool may have specialized chambers that add cost but I would be surprised if it cost more than $15 million dollars (Applied Materials did not provide any guidance on this). For 2022 the average ASP for an EUV system from ASML is nearly $200 million dollars from ASML’s financial reports. Add to that deposition, etch, CMP, cleaning, inspection and metrology equipment and compares that to a Sculpta® tool, some inspection and metrology tools, and possibly a cleaning tool, and the capital cost saving should be substantial. The key question is what the throughput is for the Scupta® tool. I asked Applied Materials about this and was told it depends on the amount of shaping and the hard mask material being used (the pattern shaping is done to the hard mask before the pattern is etched into the final film). Due to the required precision I wouldn’t be surprised if the etch times are relatively long and therefore the tool throughput is relatively low, but it would have to be incredibly slow for the Sculpta® tool not to be a much less expensive option than an EUV Litho-Etch loop. The other questions would be what the practical process limits on the technique are in terms of where it can be applied. The fact that it has already been adopted for multiple layers at – at least at one major logic producer argue that it is a promising solution.

Conclusion

In conclusion I see this as a useful addition to the lithographer’s tool set. It is probably not revolutionary but will nicely augment the capability of EUV tools and could see wide adoption for leading edge logic and DRAM fabrication.

Also Read:

IEDM 2023 – 2D Materials – Intel and TSMC

IEDM 2022 – Imec 4 Track Cell

IEDM 2022 – TSMC 3nm

IEDM 2022 – Ann Kelleher of Intel – Plenary Talk

Share this post via:

Comments

3 Replies to “SPIE Advanced Lithography Conference 2023 – AMAT Sculpta® Announcement”

You must register or log in to view/post comments.