Increase Your Debug Efficiency Using a Modern Debug Environment

Register For This Web Seminar Online - Jun 3, 2020 14:00 - 15:00 Europe/Berlin Register Overview Complex testing and methodology with complex silicon require powerful but simple to use debug solutions. The Visualizer Debug Environment provides a common debug solution for simulation, emulation and other engines, including Verilog, VHDL, UVM, SystemC, C/C++, Assertions and Coverage. …

Improve your Debug Productivity

With today’s more complex designs, we tend to see a growing productivity gap between design and verification, so we need to maximize the reusability of your verification environment, improve the automation, raise the level of abstraction… but we need higher performance, context-aware debug supporting the complete logic verification flow During this session, we will cover …

AI-Driven Verification: Saving Time with Verdi Regression Debug Automation

Online

Synopsys Webinar | Wednesday, July 27, 2022 | 10:00 a.m. Pacific Analyzing the thousands of failures from daily regression runs is a manual, tedious, and error-prone process. The process can significantly impact quality-of-results, time-to-results and cost-of-results. The Synopsys Verdi® Regression Debug Automation (RDA) is an artificial intelligence (AI) driven verification technology for automating the process …

Webinar: Shorten Your CDC Debug Cycle by 10X with ML-based RCA

Online

Wednesday, April 5, 2023 | 10:00 - 11:00 a.m. Pacific Over the last few decades System on Chip (SoC) design size has dramatically increased, and more complexity has been introduced to deliver the desired functionality. Growing design sizes lead to the introduction of several asynchronous clocks which can result in the reporting of millions of …

Webinar: Verisium Debug for UPF Low Power Design

Online

Date: Tuesday, June 20, 2023 Time: 11:00am PDT | 2:00pm EDT | 7:00pm CET Verisium Debug offers comprehensive debugging capabilities. From RTL, UVM testbench to UPF low-power designs, users can use the Cadence unified debugging platform for debugging. In this webinar, users will learn about the available features in Verisium Debug for UPF power-aware designs …

Webinar: Basics of Low-Power Verification and Low-Power Simulation using Xcelium & Verisium Debug

Online

Date and time: Thursday, September 7, 13:00-14:15 Organizer: Cadence Design Systems Japan Innotech Co., Ltd. IC Solution Division Cost: Free Venue: Online (Zoom webinar) *It is also possible to participate from a web browser. We recommend using Google Chrome, Firefox, or Chromium Edge. Registration deadline: Wednesday, September 6, 16:00 The introduction of low-power methods such …

Webinar: Verisium Debug for UVM Testbench

Online

Date: Wednesday, October 4, 2023 Time: 11:00am PT | 1:00pm CT | 2:00pm ET Verisium Debug offers comprehensive debugging capabilities. From RTL and UVM testbench to UPF low-power designs, Cadence’s unified debugging platform helps users debug. In this webinar, users will learn about the available features in Verisium Debug for UVM testbench and use these …

Webinar: Accelerating AI-driven Debug and Verification Management with Next-Gen Verdi Platform

Online

Join us for an exclusive Synopsys webinar highlighting the recently unveiled, cutting-edge advancements in the next-generation Synopsys Verdi platform.  Explore the power of AI-driven debug and new root cause analysis engines designed to speed-up bug finding, while experiencing enhanced usability through a refreshed graphical user interface. Learn about access to an integrated development environment (IDE) and a robust verification management …