WP_Term Object
(
    [term_id] => 5
    [name] => Semiconductor Advisors
    [slug] => semiconductor-advisors
    [term_group] => 0
    [term_taxonomy_id] => 5
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 225
    [filter] => raw
    [cat_ID] => 5
    [category_count] => 225
    [category_description] => 
    [cat_name] => Semiconductor Advisors
    [category_nicename] => semiconductor-advisors
    [category_parent] => 386
)

KLAC- OK Quarter & flat guide- Hopefully 2025 recovery- Big China % & Backlog

KLAC- OK Quarter & flat guide- Hopefully 2025 recovery- Big China % & Backlog
by Robert Maire on 01-30-2024 at 6:00 am

KLAC Foundry Logic

– KLAC reported an OK QTR & flat guide-waiting for 2025 recovery?
– China exposure remains both risk & savior & big in backlog
– Wafer inspect strong- Patterning on long slide- PCB biz for sale
– Some bright spots but memory still weak- Foundry/Logic OK

Bumping along the bottom of the cycle looking towards a hopeful 2025

KLAC reported $2.49B in revenues and $6.16 in non GAAP EPS. The street was at $2.46B in revenues and EPS of $5.91, so a little better as usual.

Guidance was more or less flattish to slightly down at $2.3B +-$125M and EPS of $5.26+- $0.60.

One of the reasons for March being down is some business that is slipping out of the quarter into future quarters making March lower and future quarters higher. So while technically March is a bottom, its NOT because its the bottom of the cycle but rather customer orders shifting around. Other than that we heard the same story that we heard from Lam that the back half of the year should be better than the front half (we have heard that before)

China is also “stable” at 41% of business but still a risk

China remains the double edge sword of both a risk and savior at the same time. Without the inflated China business KLA would be in a world of hurt (along with other equipment makers) but we continue to wonder how long its going to last.

Its very interesting to note that China is clearly making up a huge portion of the companies backlog as new Chinese buyers are putting down deposits to secure a place in line hopefully before any sanctions kick in. Perhaps the view is that by having an order in they will eventually get a tool.

We obviously see risk in this and remain concerned about the huge amount of business China represents on both a quarterly basis as well as in backlog.

Selling off the PCB business?

Management announced they were looking at strategic alternatives for the PCB business they acquired as it represents less than 1% and has not been great for KLA.

Our observation is that the acquisition of Orbotech almost 6 years ago has clearly not worked out as well as expected .

In our view, the $3.4B acquisition price would likely have been better spent inside KLA’s wheelhouse rather than venturing outside to try to diversify. While the aim to diversify was a good one, especially when comparing KLA to more diversified equipment companies like Applied Materials, the results of the effort have been less than stellar.

Unfortunately given todays political environment there is not a lot in KLA’s wheelhouse that they could buy so it is likely best to double down on existing markets and inside the company.

Wafer inspection strong while Patterning continues long slide

We would note that wafer inspection has become the lions share of KLA’s business at 47% while pattering was about a third of that coming in at 17% of overall revenues. Patterning was down 50% year over year and 21% quarter over quarter while wafer inspection was down a very small 7% year over year and up 15% quarter over quarter. For the year in total, wafer inspection was only off 5% while patterning was off by four times that at 20%.

While these businesses are lumpy from one quarter to the next and account for a lot of variations, the long term pattern is quite clear that KLA’s dominance in patterning is in decline and the growth is slowing significantly especially as compared to wafer inspection. This is obviously a significant change from the long term model where both markets were the two pillars of KLA’s business.

DRAM is somewhat alive with NAND still dead

DRAM represented 85% of memory business while NAND was at near zero levels of 15%, not much different than we have heard from other equipment makers.

HBM memory and DDR5 are bright spots that are driving DRAM while there remains a ton of excess and unutilized capacity in the market that we expect will take at least a year or more to sop up so we don’t expect a major broad recovery any time soon but specific area strength in memory

The Stocks

KLAC was down about 5% in the after market. Obviously the bad guide out of Intel did not help a luke warm report with no definitive recovery other than sometime in 2025.

We did not hear much different out of KLA than we heard from Lam and would expect similar stock performance from both as well as AMAT.

It is clear that a real recovery is a year away and memory will be slow to recover which will keep the pace of recovery in check.

China remains a both risk and reward for all three companies at 40% ish of business.

The stocks continue to trade at relatively high multiples for companies that are still in a downcycle. There is still a lot that can happen before we get to a real recovery and we don’t even know the slope or speed of the recovery other than a hope that it will be in 2025.

About Semiconductor Advisors LLC

Semiconductor Advisors is an RIA (a Registered Investment Advisor),
specializing in technology companies with particular emphasis on semiconductor and semiconductor equipment companies. We have been covering the space longer and been involved with more transactions than any other financial professional in the space. We provide research, consulting and advisory services on strategic and financial matters to both industry participants as well as investors. We offer expert, intelligent, balanced research and advice. Our opinions are very direct and honest and offer an unbiased view as compared to other sources.

Also Read:

ASML – Strong order start on long road to 2025 recovery – 24 flat vs 23 – EUV shines

2024 Semiconductor Cycle Outlook – The Shape of Things to Come – Where we Stand

Is Intel cornering the market in ASML High NA tools? Not repeating EUV mistake

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.