Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/tsmc-2024-investor-meeting-discussion.19468/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

TSMC 2024 Investor Meeting Discussion

Daniel Nenni

Admin
Staff member
TSMC 4Q2023 earnings conference 台積公司2023年第四季法人說明會-1.jpg


I wish I could have been there. The ones I have attended in the past are quite the production. The Taiwan press treats TSMC like rockstars. Here are the prepared statements:

Year-over-year, fourth quarter revenue was essentially flat while net income and diluted EPS both decreased 19.3%. Compared to third quarter 2023, fourth quarter results represented a 14.4% increase in revenue and a 13.1% increase in net income. All figures were prepared in accordance
with TIFRS on a consolidated basis.

In US dollars, fourth quarter revenue was $19.62 billion, which decreased 1.5% year-over-year but increased 13.6% from the previous quarter. Gross margin for the quarter was 53.0%, operating margin was 41.6%, and net profit margin was 38.2%.

In the fourth quarter, shipments of 3-nanometer accounted for 15% of total wafer revenue; 5- nanometer accounted for 35%; 7-nanometer accounted for 17%. Advanced technologies, defined as 7-nanometer and more advanced technologies, accounted for 67% of total wafer revenue.

“Our fourth quarter business was supported by the continued strong ramp of our industry-leading 3-nanometer technology,” said Wendell Huang, VP and Chief Financial Officer of TSMC. “Moving into first quarter 2024, we expect our business to be impacted by smartphone seasonality, partially offset by continued HPC-related demand.”

Based on the Company’s current business outlook, management expects the overall performance for first quarter 2024 to be as follows:
• Revenue is expected to be between US$18.0 billion and US$18.8 billion; And, based on the exchange rate assumption of 1 US dollar to 31.1 NT dollars,
• Gross profit margin is expected to be between 52% and 54%;
• Operating profit margin is expected to be between 40% and 42%.
The management further expects the 2024 capital budget to be between US$28 billion and US$32 billion.

And here is CC Wei's opening statement:

First, let me start with our 2024 outlook. 2023 was a challenging year for the global semiconductor industry, but we also witnessed the rising emergency of generative AI related applications with TSMC as a key enabler.

In 2023, weakening global macroeconomic conditions and high inflation and interest rate exaggerate and prolong the global semiconductor inventory adjustment cycle. Concluding 2023, the semiconductor industry excluding memory industry declined about 2%, while foundry industry declined about 13% year-over-year. TSMC's revenue declined 8.7% year-over-year in U.S. dollar term. Despite the near-term challenges, our technology leadership enable TSMC to outperform the foundry industry in 2023 while we are positioning us to capture the future AI and high-performance computing related growth opportunities.

Entering 2024, we forecast fabless semiconductor inventory to have returned to a higher level exceeding 2023. However, macroeconomic weakness and geopolitical uncertainties persist, potentially further weighing on consumer sentiment and the end market demand.

Having said that, our business has buttoned out on a year-over-year basis and we expect 2024 to be a healthy growth year for TSMC, supported by continued strong ramp of our industry-leading 3-nanometer technologies, strong demand for the 5-nanometer technologies and robust AI-related demand. Coming off the steep inventory correction and low base of 2023. For the full year of 2024, we forecast the overall semiconductor market, excluding memory to increase by more than 10% year-over-year.

While foundry industry growth is forecast to be approximately 20%. For TSMC, supported by our technology leadership, a broader customer base, we are confident to outperform the foundry industry growth. We expect our business to grow quarter-over-quarters throughout 2024 and our full-year revenue expect to increase by low-to-mid 20% in U.S. data terms.

Next, let me talk about our N3 and N3E ramp-up and progress. Our 3-nanometer technology are the most-advanced semiconductor technology in both PPA and transistor technology. As a result, almost all the world's smartphone and HPC innovators working with TSMC on 3-nanometer technologies. Our N3 successfully entered volume production and enjoy a strong ramp in second half '23, accounting for 6% of our total wafer revenue in 2023. N3E further leveraged the strong foundation of N3 to extend our N3 family with enhanced performance, power, and yield. N3E has already into volume production in the fourth quarter of 2023.

Supported by robust demand from customers in both smartphone and HPC applications, we expect revenue from our 3-nanometer technology to more than triple in 2024 and account for mid-teens percentage of our total wafer revenue. We also continue to provide further enhancement of our N3 technology, including N3P and the N3X. With our strategy of continuous enhancements of our 3-nanometer process technologies, we expect strong multiyear demand from our customers and are confident that our 3-nanometer family will be another large and long-lasting node for TSMC.

Now I will talk about the AI-related demand and our N2 status. The surge in AI-related demand in 2023 supports our already strong conviction that the structural demand for energy-efficient computing will accelerate in an intelligent and connected world. TSMC is a key enabler of AI applications. No matter which approach is taken, AI technology is evolving to use more complex AI models, as the amount of computation required for training and influence is increasing. As a result, AI model need to be supported by more powerful semiconductor hardware, which requires use of the most advanced semiconductor process technologies.

Thus, the value of TSMC technology position is increasing and we are well-positioned to capture the major portion of the market in terms of semiconductor component in AI. To address unassessable AI-related demand for energy-efficient computing power, customers rely on TSMC to provide the most leading-edge processing technology at scale with a dependable and predictable cadence of technology offering.

At the same time, as process technology complexity increased the engagement lead time with customer also started much earlier. There is almost all the AI innovators are working with TSMC and we are observing a much higher level of customer interest and engagement at N2 as compared with N3 at a similar stage from both HPC and the smartphone applications.

Our 2-nanometer technology will adopt narrow sheet transistor structure and be the most advanced semiconductor technology in the industry in both density and energy efficient when it is introduced in 2025. Our N2 technology development is progressing well with device performance and yield on track or ahead of plan. N2 is on track for volume production in 2025 with the ramp of similar to N3.

As part of our N2 technology platform, we also developed the N2 with backside power rail solution, which is better suited for specific HPC applications based on performance, course, and maturity considerations and we expect at power rail will be available in the second half of 2025 to customers with production in 2026. With our technology of continuous enhancement, N2 its derivative will further extend our technology leadership position and enabled TSMC to capture the AI-related course opportunities going to the future.

Finally, let me talk about our specialty technology strategies at mature mode. For TSMC today around 70% of our total revenue is 16-manometer and more advanced node, which ranging contribution from 3-nanometer and 2-nanometer technologies in the next several years. This number will only increase. Our mature node exposure is a run 20% of our total revenue.

TSMC's strategy at mature node is to what we closure with strategic partner to develop specialty technology solutions to mid-customers the requirement and create differentiated and long-lasting where you to customers. Now focus is to pure higher capacity for specialty technologies rather than just a nominal capacity. To the development of the differentiated specialty technologies, the profitability of our mature node can be around our corporate average gross margin.

Looking ahead, we forecast 28-nanometer will be the sweet spot for our embedded memory applications and we expect our long-term structural demand at 28-nanometer to be supported by multiple types of specialty technologies. Thus, we are expanding our 28-nanometer specialty manufacturing capacity overseas to support the long-term structural market demand. We believe demand for the differentiated specialty technology will remain steady despite the potential industry capacity increase and our utilization rate and structural profitability, and but you all know can be well protected in the future.

And Mark Lui's final statement:

Thank you, C.C. Good afternoon, everyone. First, let me talk about our global manufacturing footprint update. TSMC's mission is to be the trusted technology and capacity provider for the global logic IC industry for years to come. In today's fractured globalization environment, our strategy is to expand our global manufacturing footprint to increase our customer trust, expand our future growth potential, and reach for more global talent. Our overseas decision are based on our customers' needs and a necessary level of government subsidy or support. This is to maximize the value for our shareholders.

Firstly, in Japan, we are building a specialty technology fab in Kumamoto, which will utilize 12-nanometer, and 16-nanometer, and 22-nanometer, and 28-nanometer process technologies. We will hold an opening ceremony for this fab on February '24, next month. And volume production is on track for the fourth quarter of 2024.

In Arizona, we are in close and constant communication with U.S. government on incentive and tax credit support and making strong progress in facility supply chain infrastructure, utility supply, and equipment installation for our first fab. We continue to work closely and develop strong relationships with our local union and trade partners in Arizona, including recently signed an agreement with Arizona Building and Construction Trades Council our new framework for cooperation. This agreement extends our collaboration across enhanced workforce training and development, shared commitment to site safety, hiring local workers, and establishing regular communication. It is a win-win for all parties.

We are well on track for volume production of N4, or 4-nanometer process technology in first half of '25 and are confident that once we begin operations, we will be able to deliver the same level of manufacturing quality and reliability in Arizona as from our fabs in Taiwan.

In Europe, we plan to build a specialty technology fab invest in Germany, focusing on automotive and industrial applications with our joint venture partners. We continue to be in close communication with the German federal, state, and city governments and their commitment to this project remains strong and unchanged. Fab construction is scheduled to begin in Q4 2024 this year.

In Taiwan, of course, we continue to invest in and expand our advanced technology capacities to support our customers' needs and their growth. Given the robust multiyear demand for our 3-nanometer technologies, we are expanding our 3-nanometer capacity in Taiwan Science Park. We are also preparing our N2 volume production starting in 2025. We plan to build multiple fabs or multiple phases of 2-nanometer technologies in both Hsinchu and Kaohsiung Science Parks to support a strong structural demand from our customer C.C. just mentioned.

In Kaohsiung Science Park, the government approval process is ongoing and is also on track. While the initial cost of overseas fab, I previously mentioned are higher than TSMC's fab in Taiwan. We are confident to manage and minimize the cost gap and remain committed to deliver profitable growth and maximize the value for our shareholders.

Now let me talk about my retirement. On December 19th last year, I announced that, I have decided not to seek nomination of Board members for the next term and will retire from the company after the 2024 Annual Shareholders Meeting in June. Allow me to say this, over the past 30 years, I have been incredibly fortunate to be able to work at and contribute to TSMC.

I started at TSMC 30 years ago as a leader of a small four-person fab construction team. It has been my privilege to serve as Chairman of TSMC and after our legendary Founder, Dr. Morris Chang, over the last six years. During this time, we have reaffirmed our commitment to our mission, to be the trusted technology and capacity provider to the global logic IC industry for years to come, while adhering to our core values of integrity, commitment, innovation, and customer trust.

TSMC's success is predicated on providing the industry's most leading-edge processing technology at scale, in a most efficient and cost-effective manner, to enable all the innovators to successfully offer their best products to the world. We together have worked diligently to enhance our focus on our technology leadership, competitiveness, global manufacturing footprint, digital excellence, sustainability, and corporate governance to maximize the value for our customers and our shareholders. The past 30 years with TSMC has been an extraordinary journey for me and I want to extend my sincerest thanks to our incredible, talented team and all our TSMC's colleagues whose diligence, dedication, and can-do spirit have made the company into what it is today.

Now TSMC's nomination, corporate governance and sustainability committee of the board has recommended Dr. C. C. Wei to succeed as the company's next Chairman, subject to the election of the incoming board in June 2024. If Dr. Wei is elected to be Chairman, he should also continue in his current role as CEO. Supported by a deep and experienced team of Senior Executives, many of whom have been with TSMC for many, many years.

As I look ahead to spend more time with my family and starting the next chapter of my life after my -- our AGM in June, I remain fully confident in TSMC's strategy, leadership, and execution and firmly believe TSMC will continue to perform outstandingly in the years ahead.

Thank you for your trust in TSMC, and the best is yet to come for the company and its shareholders. This conclude my messages and our key messages together. Thank you for your attention.

Presentation

Webcast Replay
 
Last edited:
Interesting Q&A:

Asked about the competitive nature of TSMC and Intel Foundry:

C. C. Wei
Charlie, you named my customer's name, that's my customer and my competitor. Let me repeat the last time when I come in on their technology. The comment stays the same, so that they are new is the technology would be very similar or equivalent to TSMC's N3P. We further check again with all the specs or the possible published in technology, transistor technology and everything. My comment stays the same with a big advantage in the technology maturity. Because of, in 2025, when they say that their newest technology will be go on production. For TSMC, that will be the third year with a very high-volume production in the fabs.

So again, I don't want to make too much of a comment on my customers' claim, but let me assure you. We continue to have a technology leadership and we continue to have a broader base of customer and almost everybody, almost. They are working with TSMC.

Mark Liu
Let me add some color to this. I think C. C. has been very modest. I think he's claimed that N3P is comparable to their 18A. We still affirm our statement. But I would like you to look at a different perspective. And what C. C., what the other side, the claim might be right, but it's only to their own product. And IDM typically their technology for their own product. We have foundry us, we optimize our technology for our customers' product. So, that's a big difference. What you use for the high-power server could be very different than what used was the sketches on your hands, smartphone or even the large data edge AI processors. So, you should look at this, I think the time compared with PPA, we still affirm our statement, but I think just look at our customers' action that just tell us all the stories.

D.A.N.
The other point is that today Intel is making CPU chiplets while TSMC N3 is making complex SoCs with billions of transistors (Apple/QCOM) as well as chiplets (AMD/Nvidia). For the first time TSMC N3 has ALL of the top semiconductor companies as customers while in the past some have used Samsung. Even Intel is using TSMC N3. That brings the term Process Maturity to a whole new level. TSMC N3 will be the most successful process node in the history of FinFETs, and maybe in the history of TSMC, absolutely.
 
Interesting Q&A:

The questions is about High-NA. Intel is making bold claims and TSMC is not.

C. C. Wei
Bruce, you asked a very technical question, I'm not very sure everybody know the high-NA or is nanosheet or that all run, but let me answer the question. We always make the right decision and our track record show that. Is that enough? Okay. Let me elaborate a little bit more because of technology itself is no value, only one can serve your customer. So, we always work with our customer to give them the best transistor technology and the best power-efficient technology and at a reasonable cost.

More importantly, the technology maturity that in the high-volume production, that's all important. Everything comes together, so every time we know that there are some new structure, new tools such as high NA UV, we look at it carefully, look at the maturity of the tools, look at the cost of the tools and look at the schedule of that, how to achieve it. We always make the right decision at the right moment to serve our customers. And so far, all our customers are happy with TSMC's progress. Did I answer your question? Almost everybody work with TSMC on 2-nanometer, except one.

D.A.N.

There have been many discussions about this on the SemiWiki forums. We have experts in process technology and lithography discussing this topic and it concurs with CC Wei's comments. Remember, EUV was a long time coming and had significant maturity problems. TSMC took a conservative approach and added it to an already mature N7 node then continued adoption through N6, N5, N4, and N3. Given TSMC's success with those nodes I have full confidence that CC Wei will make the correct assessment for High-NA EUV, absolutely.

I have heard the same about TSMC N2. Intel is the only company I have found that is not using it. That does not mean Intel Foundry will not get chiplet business for 18A down the road but the majority of chiplets will be on TSMC N2.
 
Interesting Q&A:

Question about overcapacity:

C. C. Wei
I think your observation is right. There might be too much of a capacity being built right now for mature nodes. So, the concern on overcapacity is valid. Now let's talk about TSMC. As I said, TSMC increased the mature node capacity for specialty technology differentiated with others. We work with customer and that kind of capacity, actually effective capacity as we name it, is with commitment from customers loading and for the future of business, because we offer the value for our customer to design their product.

So, we believe that they can retain their products value even the capacity is flattered in the industry. And so long as our customer is doing well, TSMC is doing well. And so, the profitability, as I said in my statement, it will be around the corporate average, so we don't have concern.

D.A.N.
I agree that there will be an over supply of fab space in the coming years. AI as a semiconductor demand driver may change that but it is too soon to tell. TSMC is different, they build fabs based on customer requests. If the customer is successful TSMC will be successful. Other companies build fabs with the hopes they will win new customers. It is a chick and egg situation. Foundries must have capacity to attract new customers but that does not mean they will get the customers and fill the fabs.

Overcapacity is not a bad thing for customers. There will be less wafer pricing pressure and no more shortages which were very painful during the pandemic.

Nicholas Barrett
Is it possible that some U.S. customers only want U.S. made wafers?

C. C. Wei
Why do we answer that question? Arizona fab's for everybody. But majority is a U.S. customer, you are right.
:ROFLMAO:

D.A.N.
The TSMC fabs being built in Japan and AZ are very different. If the US government does not fully participate the AZ fab project will be limited. Japan on the other hand is fully participating so expect more Japan fabs. It is not clear to me if a TSMC fab project in Germany will be more like AZ or Japan. Maybe somewhere in the middle but Japan is setting a pretty high bar for fab partnerships.
 
Interesting Q&A

Question about CoWos:

C. C. Wei
The demand actually is very strong. Today's situation that we cannot offer enough capacity to support our customer. And that condition will continue probably all the way to next year. Although, we are working very hard to increase the capacity, for example, this year, we are doubling our output and still not enough, and so we continue to increase for the next year. The progress, so far so good because we invested on the advanced packaging technology for more than 10 years already. So, we expect the growth rate for CoWoS for 3D IC or for SoIC per se, it will be more than 50% CAGR in the next few years, at least. And so, we are confident that the demand is there. It's TSMC's capability to offer enough capacity to support our customer.

Laura Chen
For CoWoS, you will be doubling and what's the idea about the next year? Do you have any preliminary thought?

C. C. Wei
I will talk to you next year.

:ROFLMAO:

Jeff Su
So, it's also a very specific, too specific question. But again, Brad wants to know, CoWoS S seems to be the mainstream today to see customers switching to CoWoS L or CoWoS R and what's the margin implication?

C. C. Wei
Well, let's make a joke, I even didn't know what is called CoWoS R, CoWoS L. But anyway, we are working with customer to support them with adequate capacity, although it's not 100% now but we do our best. And we're developing that next generation, CoWoS A or something like that for our customer, and it's overwhelming that it welcomed by all my customers, so we are preparing the capacity for you.
 
TSMC 2023 revenue is US$69.3 billion. Compare to Intel's $48.664 billion of 2023 estimated revenue, the revenue difference is getting bigger. There will be consequences caused by this gap. The obvious one is the scale of the economy in manufacturing and R&D.

1705593339149.png
 
TSMC 2023 revenue is US$69.3 billion. Compare to Intel's $48.664 billion of 2023 estimated revenue, the revenue difference is getting bigger. There will be consequences caused by this gap. The obvious one is the scale of the economy in manufacturing and R&D.

View attachment 1618

The last four years sure has been interesting for TSMC. Hopefully things will level out with predictable growth.
 
Im always amazed by TSMC GM and OM numbers. It will be interesting to see what Intels GM and OM numbers are for manufacturing when they break it out in 2024.
 
I have heard the same about TSMC N2. Intel is the only company I have found that is not using it. That does not mean Intel Foundry will not get chiplet business for 18A down the road but the majority of chiplets will be on TSMC N2.
I would be so surprised if Intel does show up to be a customer for TSMC's N2 process node when they spoke highly of their 18A multiple times ever since PG's comeback.

Even if IFS not getting a single whale customer (where in reality, they did when they announced the first prepayment last year), that doesn't really worry me because Intel still is an IDM, it should make fatter or equivalent margin as AMD/NVDA +TSMC combined post-18A. It can still win when it comes to a price war if they do deliver competitive products on time; nobody will win except Intel/Nvidia (which will win when they want to sell service but make less margin on hardware). They will eventually show up their muscle once the peers no longer have this superior advantage with process tech.
 
I would be so surprised if Intel does show up to be a customer for TSMC's N2 process node when they spoke highly of their 18A multiple times ever since PG's comeback.

Even if IFS not getting a single whale customer (where in reality, they did when they announced the first prepayment last year), that doesn't really worry me because Intel still is an IDM, it should make fatter or equivalent margin as AMD/NVDA +TSMC combined post-18A. It can still win when it comes to a price war if they do deliver competitive products on time; nobody will win except Intel/Nvidia (which will win when they want to sell service but make less margin on hardware). They will eventually show up their muscle once the peers no longer have this superior advantage with process tech.
Disagree. TSMC has a price advantage because of their ecosystem and the fact so much r&d gets down outside of TSMC. Apple r&d doesn’t show up on TSMCs balance sheet but it sure as hell makes a big difference as far as pushing things forward. TSMC also has a scale that’s hard to imagine and doesn’t have to pay Intels bloated salaries. Big difference
 
Disagree. TSMC has a price advantage because of their ecosystem and the fact so much r&d gets down outside of TSMC. Apple r&d doesn’t show up on TSMCs balance sheet but it sure as hell makes a big difference as far as pushing things forward. TSMC also has a scale that’s hard to imagine and doesn’t have to pay Intels bloated salaries. Big difference
All of these won't be that big of a deal when Intel gets fat and juicy paycheck from US government simply by being a member in military industrial complex. Also, Intel's trying to be leaner. And there were many projects that were simply a waste of resource, such as drone.

All of those advantage that TSMC has at the moment will likely be gone when Donald Trump gets re-elected again. He doesn't like to play nice with all these important companies. He just want himself to look great. Let's not forgot that in 2020, TSMC have to agree to build a fab in US when IFS don't even exist yet. Also, Trump attacked TSMC again in 2023. I can very much say that he's going to pressure TSMC to do things they don't like. To do things Apple, Nvidia, AMD, Qualcomm don't like but they have to obey the president whoever gets elected or re-elected.

 
Let's guess who the other 80B to be invested for the rest of the decades in Ohio is waiting for. You guessed it. The next president in realm!
 
Last edited:
I have heard the same about TSMC N2. Intel is the only company I have found that is not using it. That does not mean Intel Foundry will not get chiplet business for 18A down the road but the majority of chiplets will be on TSMC N2.
Hi Dan,
Does that mean Intel is so confident of their 18A so they think they don't need TSMC N2?
 
That would be my guess. With backside power Intel will have an advantage at 18A so maybe that is it. Remember, Pat inherited the TSMC agreement, he did not write it and from what I have heard it is heavily in favor of TSMC.
On the other hand, Intel needs customers for their Fab. If Intel give orders to TSMC and don't utilize it's own Fab, it could lead to significant losses.
C.C Wei saying "Almost everybody work with TSMC on 2-nanometer, except one." could be on purpose.

This is to tell other customers that bet on Intel is risky. Don't pressure the wrong side. All customer already made their choice.

If Intel does not mass-produce smoothly after 2-3 years, the customers who bet on it will be in serious trouble.

However, if TSMC delays, all customers have already bet on TSMC's 2-nm are in the same boat. It will be fine.
 
Hi Dan,
Does that mean Intel is so confident of their 18A so they think they don't need TSMC N2?
My opinion: As CEO of intel, set the goal to deliver 5 tech nodes in 4 years to leapfrog TSMC and become technology leader again, and now it is the fourth year to deliver 20A/18A (RibbonFET), it is obvious that Pat should not sign the foundry contract from TSMC N2 in 2024. As an alternative, if things go wrong, intel still can put order in 2025 or later. TSMC N2 will HVM in the second half of 2025. The point here is it takes time (~1-2 years) to do design verification. I am wondering that intel has planned and worked on TSMC N2 design verification now? It could be critical. Besides, if 3nm is the leading technology for HPC or upcoming AI PC and TSMC dominates the manufacturing, then the HPC, AI PC market share will be decided by 3nm capacity. If intel builds up effective capacity of intel 3 and 18A on time and take some capacity in TSMC N3, then intel will create as many market share as he wants when shortage happens. It is interesting supply chain game. Wish the winner will be end users due to competition.
 
My opinion: As CEO of intel, set the goal to deliver 5 tech nodes in 4 years to leapfrog TSMC and become technology leader again, and now it is the fourth year to deliver 20A/18A (RibbonFET), it is obvious that Pat should not sign the foundry contract from TSMC N2 in 2024. As an alternative, if things go wrong, intel still can put order in 2025 or later. TSMC N2 will HVM in the second half of 2025. The point here is it takes time (~1-2 years) to do design verification. I am wondering that intel has planned and worked on TSMC N2 design verification now? It could be critical. Besides, if 3nm is the leading technology for HPC or upcoming AI PC and TSMC dominates the manufacturing, then the HPC, AI PC market share will be decided by 3nm capacity. If intel builds up effective capacity of intel 3 and 18A on time and take some capacity in TSMC N3, then intel will create as many market share as he wants when shortage happens. It is interesting supply chain game. Wish the winner will be end users due to competition.

Intel can use TSMC N3 for chiplets to mix with Intel 20A/18A CPU chiplets, no probelm. As CC Wei said N3 is as good as 18A.

For those of you who remember, back in the day people used to say "if you buy from IBM you will never lose your job". I worked for an IBM competitor so I know this to be true. The same thing can be said about TSMC. The company name (track record) influences a lot of purchases.
 
If Intel succeeds we will have another viable leader in foundry technology. If Intel does not, they will simply outsource more and more to TSMC. Right now many people in Intel think it is a coin toss which way it will go. We do know that 2024 and 2025 products will use a lot of TSMC silicon. I think the decision will become clear based on what is actually shipping at the end of 2024 and in what volume. TSMC gets 15% of its revenue in Q4 from N3. What % of Intel Revenue will come from Intel4?
 
  • Like
Reactions: VCT
My opinion: As CEO of intel, set the goal to deliver 5 tech nodes in 4 years to leapfrog TSMC and become technology leader again, and now it is the fourth year to deliver 20A/18A (RibbonFET), it is obvious that Pat should not sign the foundry contract from TSMC N2 in 2024. As an alternative, if things go wrong, intel still can put order in 2025 or later. TSMC N2 will HVM in the second half of 2025. The point here is it takes time (~1-2 years) to do design verification. I am wondering that intel has planned and worked on TSMC N2 design verification now? It could be critical. Besides, if 3nm is the leading technology for HPC or upcoming AI PC and TSMC dominates the manufacturing, then the HPC, AI PC market share will be decided by 3nm capacity. If intel builds up effective capacity of intel 3 and 18A on time and take some capacity in TSMC N3, then intel will create as many market share as he wants when shortage happens. It is interesting supply chain game. Wish the winner will be end users due to competition.

During the recent earnings conference call, TSMC CEO CC Wei stated that everyone except one all participate in the TSMC N2 development program. From those Q&A in the conference call, it's almost certain the "one" is Intel. Intel's risk is that if one day Intel does need help from TSMC N2, it will be at least 2 to 3 years behind its competitors and there might not have meaningful TSMC capacity waiting for them at all.
 
Back
Top