Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/tsmc-2024-investor-meeting-discussion.19468/page-2
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

TSMC 2024 Investor Meeting Discussion

During the recent earnings conference call, TSMC CEO CC Wei stated that everyone except one all participate in the TSMC N2 development program. From those Q&A in the conference call, it's almost certain the "one" is Intel. Intel's risk is that if one day Intel does need help from TSMC N2, it will be at least 2 to 3 years behind its competitors and there might not have meaningful TSMC capacity waiting for them at all.

Intel does not need TSMC N2. The supporting chiplets they use TSMC for can stay at N3 which will easily match Intel 18A. When Intel moves to 14A hopefully they can use 18A for chiplets in a cost efficient manner. Intel will also be fully entrenched in back side power delivery at 18A and TSMC will not at N2.
 
What are the topics of Apple's R&D that contribute to TSMC's process development speed?
There are some economic and some technical reasons why Apple is a strong force to push TSMC faster.

Apple has large volume requirements, said demand is all on TSMC's two newest nodes, and they have a consistent schedule. This ensures a couple of things. For one volume is everything. Volume is cost. Volume is yield. All of those wafers allows TSMC to spend less time in the ramp phase then if a smaller company like AMD was their ramp vehicle. That fast ramp also allows for more data turns and faster yield learning. Another economic benefit is that Apple can stomach the cost of wafers during process ramp. Some random math to illustrate my point:

N3B R&D cost lets call it 10B for sake of argument. And let's say the first two phases for N3 production at fab18 was 20B. Okay so your first Apple product wafer costs TSMC 30B to make. The second 15B and so on. As a result of these high per wafer costs during ramp TSMC charges more per wafer during this period. Let's say that the cost of an N3 wafer in say 2026 is 12k and that the price foundry customers pay is 19k. At 19k per wafer with mature yields you can go to town making chips with low ASPs or reticle busters like NVIDIA and make very healthy profits. Going back to 2023 TSMC's wafer cost was both expensive and highly variable as each wafer further amortized the fixed cost of fabs+R&D and you also have the weight of the largest year of depreciation on your books (40% of total expenses in year 1 using DDB depreciation). As a result they might charge Apple like 30k per wafer. Defect density in 2023 will also be worse than in 2026 further raising Apple's already very high per die cost. For the sake of argument let's say that Apple gets like 650 good dies per wafer on their usual high 80s mm^2 die. Okay that means during ramp they have a per die cost of $46. If the chip was made in 2026 it would only cost $29 even at the same yield. Could a merchant chip vendor like AMD, MTK, or QCOM afford to pass on or eat the cost of their products being 59% more expensive? Not a chance. Meanwhile for Apple who cares. Let's just say that ASP is $1000 per phone, the chip is less than 5% of the price. When your business relies on selling the phone you would gladly pay that extra 2% to get the functionality to lead over your Android competitors.

As for the technical aspects I alluded too there are a few things that make A series SOCs lead product friendly. One of them that I suspect is heavily under valued is Apple's experience working with foundries on nodes early in development. Because Apple works with TSMC so much earlier than other fabless firms they have to be apt in dealing with the rough edges that will be present with earlier iterations of the process, PDKs, and design tools. This expertise is not really something that I would think any other fabless firm has anymore. There is also the benefit of how an A series SOC has simpler requirements than other mobile or embedded SOCs. They don't need ULL, they don't need high voltage, there is no radio, no complex RF devices, due to the mobility and wideness of Apple's cores they don't need (or want) high freq, and finally Apple doesn't need any exotic analog. Combine this with Apple chips being VERY SRAM heavy (as the structured nature of SRAM should just inherently be easier to yield than random logic arrays) and you have a very convenient chip for TSMC's purposes. With that being said, I suspect that the technical convenience of using A series as the ramp vehicle are less important to TSMC than the economic benefits. Either way both are still important for allowing TSMC to move faster than if they had to go back to the old days of having cost sensitive customers doing the bleeding at the bleeding edge.
 
Disagree. TSMC has a price advantage because of their ecosystem and the fact so much r&d gets down outside of TSMC. Apple r&d doesn’t show up on TSMCs balance sheet but it sure as hell makes a big difference as far as pushing things forward. TSMC also has a scale that’s hard to imagine and doesn’t have to pay Intels bloated salaries. Big difference

Does IDM, such as Intel, have a fatter or equivalent profit margin than the foundry+fabless?

I can't predict the future with 100% accuracy. What I can say is that for the past six years, Intel's yearly net profit margins were lagged behind TSMC's. If we add those TSMC major customers' net profit margin with TSMC's into consideration, Intel's capability in earning profit is miserable.

For 2022, TSMC "net" profit margin even surpassed Intel's "gross" profit margin. Does it tell us something?

1705939534169.png
 
Does IDM, such as Intel, have a fatter or equivalent profit margin than the foundry+fabless?

I can't predict the future with 100% accuracy. What I can say is that for the past six years, Intel's yearly net profit margins were lagged behind TSMC's. If we add those TSMC major customers' net profit margin with TSMC's into consideration, Intel's capability in earning profit is miserable.

For 2022, TSMC "net" profit margin even surpassed Intel's "gross" profit margin. Does it tell us something?

View attachment 1623
Considering that intel stopped being more efficient than a synthetic IDM once they lost the process lead confirms what any semi professional could have told you. Namely that losing the process lead is losing cost leadership, and that it is damning to any semi firm. Inversely also notice how synthetic margins also just so happen to go up as TSMC margins went up with their capturing of logic process leadership. Seeing the large drop coinciding with the post covid demand crash and lost MSS also confirms that scale is indeed very important. Finally it shows that intel's sub components are not as efficient as their fabless, foundry, and OSAT peers.

1705943542968.png
 
Last edited:
Considering that intel stopped being more efficient than a synthetic IDM once they lost the process lead confirms what any semi professional could have told you. Namely that losing the process lead is losing cost leadership, and that it is damning to any semi firm. Seeing the large drop coinciding with the post covid demand crash and lost MSS also confirms that scale is indeed very important. Finally it shows that intel's sub components are not as efficient as their fabless, foundry, and OSAT peers. View attachment 1624

With the new market and technology trends, do you think if process lead can bring enough volume to Intel to make Intel be competitive again?
 
Considering that intel stopped being more efficient than a synthetic IDM once they lost the process lead confirms what any semi professional could have told you. Namely that losing the process lead is losing cost leadership, and that it is damning to any semi firm. Seeing the large drop coinciding with the post covid demand crash and lost MSS also confirms that scale is indeed very important. Finally it shows that intel's sub components are not as efficient as their fabless, foundry, and OSAT peers. View attachment 1624

Btw, how does Intel define a synthetic IDM?
 
Btw, how does Intel define a synthetic IDM?
No clue how it was formulated. Maybe they give some hint during the IDM2.0 webinar, but I don't feel like rewatching it.

With the new market and technology trends, do you think if process lead can bring enough volume to Intel to make Intel be competitive again?
My gut says without IFS... no. One reason for this assessment is that back in the 2000-2016 period intel's tech lead vs ROW was even bigger than the lead TSMC had over intel in the 2018-2022 time period. Besides just the performance implication of that lead; there was also a HUGE cost lead that made intel more competitive than they would have otherwise been (as evidenced by Pat's process tech going out like the tide and exposing the design side comment). Considering that the economic benefits for new nodes are softening and that intel doesn't appear to be opening up a multi node lead like they used to have, design must create value AND cost competitive products to raise both units shipped and margins. IMO folks like TSMC, AMD, and NVIDIA are too good for either the manufacturing or the design sides to single handedly carry the other half of the firm back to intel's historical market domination.


Besides the marketshare angle, there is another factor that I see as acting as both a head and tailwind to manufacturing volume... Chiplets. Intel has talked plenty about how converting old fabs to new processes robbed them of the ability to subsidise new nodes with the old ones. In an IDM1.0 world disaggregating designs can allow for longer tails on these processes. However you also reduce the amount of wafers you need from the new process. MTL is an excellent example of this. MTL compute die looks way smaller than the equivalent ADL mobile dies. As a result intel needs fewer wafer starts for the same product demand (assuming iso yield and that dpw scales linearly with the die size). The way I see it going disag means you are in effect trading your scale for a faster capacity ramp and a longer tail. As a side note: I think this is one of the neat things about Samsung. Their IDM needs both leading and trailing chips - as opposed to intel who mostly only wants N and N+1 - allowing Samsung some of that never ramp down goodness that TSMC enjoys even without factoring in Samsung Foundry.

Q1/21 estimates from an old semiwiki article posted by SJ:
1705956732040.png


Considering that scale is yield and that scale is cost, it is my opinion that continuing to keep pace with TSMC's scale on the leading edge will be critical to long term sustainability. Given the negative impact that I assume chiplets will have on scale I'm personally in the camp that leading edge IFS customers will be essential to maintaining the scale needed to thrive as capital intensity and R&D investment continue to increase. Additionally trailing edge chiplets and perhaps more importantly trailing IFS customers should be a great boon to intel's finances.
 
Last edited:
Does IDM, such as Intel, have a fatter or equivalent profit margin than the foundry+fabless?

I can't predict the future with 100% accuracy. What I can say is that for the past six years, Intel's yearly net profit margins were lagged behind TSMC's. If we add those TSMC major customers' net profit margin with TSMC's into consideration, Intel's capability in earning profit is miserable.

For 2022, TSMC "net" profit margin even surpassed Intel's "gross" profit margin. Does it tell us something?

View attachment 1623

The FABS improve margin by productivity gains or by squeezing their suppliers?
 
This is synthetic IDM before separating IFS.
View attachment 1627

This is a good slide to understand Intel's thinking and how it allocate cost and profit under the IDM 2.0 business model. It seems to me that Intel must increase Intel corporate's gross profit margin or cut down the IFS' cost significantly. Otherwise IFS' gross profit margin and operating profit margin won't be pretty.

Will IFS charge external customers with the same gross profit margin it gives to Intel internal customers?
 
Last edited:
No clue how it was formulated. Maybe they give some hint during the IDM2.0 webinar, but I don't feel like rewatching it.


My gut says without IFS... no. One reason for this assessment is that back in the 2000-2016 period intel's tech lead vs ROW was even bigger than the lead TSMC had over intel in the 2018-2022 time period. Besides just the performance implication of that lead; there was also a HUGE cost lead that made intel more competitive than they would have otherwise been (as evidenced by Pat's process tech going out like the tide and exposing the design side comment). Considering that the economic benefits for new nodes are softening and that intel doesn't appear to be opening up a multi node lead like they used to have, design must create value AND cost competitive products to raise both units shipped and margins. IMO folks like TSMC, AMD, and NVIDIA are too good for either the manufacturing or the design sides to single handedly carry the other half of the firm back to intel's historical market domination.


Besides the marketshare angle, there is another factor that I see as acting as both a head and tailwind to manufacturing volume... Chiplets. Intel has talked plenty about how converting old fabs to new processes robbed them of the ability to subsidise new nodes with the old ones. In an IDM1.0 world disaggregating designs can allow for longer tails on these processes. However you also reduce the amount of wafers you need from the new process. MTL is an excellent example of this. MTL compute die looks way smaller than the equivalent ADL mobile dies. As a result intel needs fewer wafer starts for the same product demand (assuming iso yield and that dpw scales linearly with the die size). The way I see it going disag means you are in effect trading your scale for a faster capacity ramp and a longer tail. As a side note: I think this is one of the neat things about Samsung. Their IDM needs both leading and trailing chips - as opposed to intel who mostly only wants N and N+1 - allowing Samsung some of that never ramp down goodness that TSMC enjoys even without factoring in Samsung Foundry.

Q1/21 estimates from an old semiwiki article posted by SJ:
View attachment 1625

Considering that scale is yield and that scale is cost, it is my opinion that continuing to keep pace with TSMC's scale on the leading edge will be critical to long term sustainability. Given the negative impact that I assume chiplets will have on scale I'm personally in the camp that leading edge IFS customers will be essential to maintaining the scale needed to thrive as capital intensity and R&D investment continue to increase. Additionally trailing edge chiplets and perhaps more importantly trailing IFS customers should be a great boon to intel's finances.

IFS' external customers definitely can bring additional volume to improve Intel's scale of economy. But potentially Intel will need to decide who gets the capacity first at a particular moment. IFS' internal customers and external customers have different profit margins and impacts on Intel overall profitability.
 
If Intel can regain process leadership at a minimum and customized the leading edge for a single digit iso power performance advantage they will keep their dominate position in client and server.

With their current position that will guarantee them 200M+ volume enough scale to more than justify the leading edge investment. They key will be to back fill the lagging node to print money like TSMC. The world currently needs a more diversified manufacturing base so IFS will flourish as long as Intel can change their culture and become customer focused.
 
There are some economic and some technical reasons why Apple is a strong force to push TSMC faster.

Apple has large volume requirements, said demand is all on TSMC's two newest nodes, and they have a consistent schedule. This ensures a couple of things. For one volume is everything. Volume is cost. Volume is yield. All of those wafers allows TSMC to spend less time in the ramp phase then if a smaller company like AMD was their ramp vehicle. That fast ramp also allows for more data turns and faster yield learning. Another economic benefit is that Apple can stomach the cost of wafers during process ramp. Some random math to illustrate my point:
Thanks for the very thoughtful response. Seems like a partnership that is very beneficial for both Apple and TSMC.
 
Thanks for the very thoughtful response. Seems like a partnership that is very beneficial for both Apple and TSMC.

I remember when the TSMC / Apple partnership was revealed. Some people said Apple would destroy TSMC as they have other suppliers. While Apple did have a reputation for doing that, I did not see that possibility since Apple could not replicate TSMC. And as history has shown us the Apple/TSMC relationship changed the course of the semiconductor industry in different ways. For one other followed Apple into developing their own chips. The smartphone companies went first but others have followed. Apple has also been faithful to TSMC with a very intimate exclusive relationship not seem since the FPGA era where Altera was partnered with TSMC and Xilinx was partnered with UMC (pre 28nm).More importantly, The TSMC / Apple relationship has spawned the "New node every year" cadence the foundry business now lives by and the cadence that put TSMC ahead of Intel.
 
I remember when the TSMC / Apple partnership was revealed. Some people said Apple would destroy TSMC as they have other suppliers. While Apple did have a reputation for doing that, I did not see that possibility since Apple could not replicate TSMC. And as history has shown us the Apple/TSMC relationship changed the course of the semiconductor industry in different ways. For one other followed Apple into developing their own chips. The smartphone companies went first but others have followed. Apple has also been faithful to TSMC with a very intimate exclusive relationship not seem since the FPGA era where Altera was partnered with TSMC and Xilinx was partnered with UMC (pre 28nm).More importantly, The TSMC / Apple relationship has spawned the "New node every year" cadence the foundry business now lives by and the cadence that put TSMC ahead of Intel.
As far as I know, to take the order from your competitor when you are the perspective second supplier is a very hard work and need to attract customer with incentive value more than "switch cost". I remembered tsmc engaged with Apple from 28nm node, at that time Samsung was the sole Apple AP chip manufacturer. The rumor said Apple used tsmc for wafer price bargaining and eventually tsmc got no order in 28nm which made tsmc executives very angary. It is not confirmed but seems quite typically. Apple went to dual suppliers in 14/16nm application processor and you might check the heat story and could be yield difference which were the switching point for Apple to use tsmc solely from 10nm node and beyond. The relationship building takes time and needs long term track record. It will not be easy for intel and Samsung to win the Big Whale in short time.
 
As far as I know, to take the order from your competitor when you are the perspective second supplier is a very hard work and need to attract customer with incentive value more than "switch cost". I remembered tsmc engaged with Apple from 28nm node, at that time Samsung was the sole Apple AP chip manufacturer. The rumor said Apple used tsmc for wafer price bargaining and eventually tsmc got no order in 28nm which made tsmc executives very angary. It is not confirmed but seems quite typically. Apple went to dual suppliers in 14/16nm application processor and you might check the heat story and could be yield difference which were the switching point for Apple to use tsmc solely from 10nm node and beyond. The relationship building takes time and needs long term track record. It will not be easy for intel and Samsung to win the Big Whale in short time.

From what I remember Apple switched to TSMC at 20nm during the legal issues with Samsung. That was the iPhone 6 which was a great phone. Apple had to dual source the next SoC (iPhone 6+) due to TSMC having yield problems with the first version of 16nm. Apple has been exclusive to TSMC ever since.

I do not believe it was price that was key to the switch. I believe it was the contract. TSMC offered Apple a "most favored nation joint development" contract which means they get a custom process first, every year, and for the best cost. TSMC cannot sell wafers lower than what Apple pays. Samsung could not do this.
 
This is synthetic IDM before separating IFS.
Fascinating, it suggests that Intel financial model is starving IDM into being lean and cost conscious. While giving the SOC side of the house reasonably free choice on economics whether they go to TSMC or to IDM.
 
It will not be easy for intel and Samsung to win the Big Whale in short time.
The whale will not be Apple. It will be a trusted relationship with someone building AI chips. Someone who does not have a "favored nation" contract like Apple, or maybe not even like Nvidia who may also have similar language and has been quite close to TSMC. The big wins will be the upstarts, who are always in the mix somewhere.
 
The whale will not be Apple. It will be a trusted relationship with someone building AI chips. Someone who does not have a "favored nation" contract like Apple, or maybe not even like Nvidia who may also have similar language and has been quite close to TSMC. The big wins will be the upstarts, who are always in the mix somewhere.

The fact that a whale customer for Intel IFS has not been announced proves how hard it is to get whales to switch oceans. Intel IDM 2.0 is coming up on the third anniversary so time is ticking. This week's investor call should be a good one. I will be whale watching, absolutely!
 
From what I remember Apple switched to TSMC at 20nm during the legal issues with Samsung. That was the iPhone 6 which was a great phone. Apple had to dual source the next SoC (iPhone 6+) due to TSMC having yield problems with the first version of 16nm. Apple has been exclusive to TSMC ever since.

I do not believe it was price that was key to the switch. I believe it was the contract. TSMC offered Apple a "most favored nation joint development" contract which means they get a custom process first, every year, and for the best cost. TSMC cannot sell wafers lower than what Apple pays. Samsung could not do this.
Yes, you are right. Thank you. It was from tsmc 20nm. For Apple, the decision point will be more about who can provide efficient capacity of leading edge technologies he needs, than cost. For early technology adopters (not pioneer), the cost weighting starts to increase.
 
Back
Top