Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/intel-partners-with-umc.19497/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Intel partners with UMC

Me too haha. This really feels like a middle finger to TSMC lol
I have never been aligned with Pat's demeanor. I'm more of a speak-softly-and-carry-a-big-stick person, and I like it when people don't see me coming. I think Pat's stick is still growing on a sapling in his back yard. I'm rooting for Intel, but Pat makes me wince.
 
Got to say a surprise but if this collaboration expands would be huge for the Foundry space to put legacy and leading edge nodes all under one umbrella really challenging TSMC


In a way it's similar to the Intel/Tower Semiconductor collaborations. Intel wants to become a "foundrys' foundry" to provide leading edges node technology (relatively) and fab capacity to those smaller and less advanced foundries.

It will bring in more volume to help Intel to improve its scale of the economy in manufacturing.

On the flip side of this partnership is the profit margin of Intel Foundry Service (IFS) will be squeezed further.
 
Last edited:
My first reaction is wondering if Gelsinger actually puts effort into contemplating how to most annoy TSMC senior management.
Nah intel is just the foundry for foundries now :LOL: edit (lol Hist seemed to have the same idea)

When are intel and TSMC going to swap technologies and both manufacture each other nodes?

Joking aside, this is quite the shock. I had assumed that at least fab 32 would get non euv tooling for advanced process node production. I was thinking maybe fab 12 might get the tower treatment, but everything older than fab42 going to a J-V was never something that I thought would be in the cards.

This announcement also leaves me with a lot more questions than answers.
Jason Wang, UMC co-president, said, “Our collaboration with Intel on a U.S.-manufactured 12 nm process with FinFET capabilities is a step forward in advancing our strategy of pursuing cost-efficient capacity expansion and technology node advancement in continuing our commitment to customers. This effort will enable our customers to smoothly migrate to this critical new node, and also benefit from the resiliency of an added Western footprint. We are excited for this strategic collaboration with Intel, which broadens our addressable market and significantly accelerates our development roadmap leveraging the complementary strengths of both companies.”
This make it sound like this is a either a half node improvement to UMC's now out of production 14nm (in a similar vein to GF 12LPP family), or alternatively something more in-line with "intel accelerating UMC's roadmap" is that maybe UMC was working on a 10"nm" class node with minimal multipatterning (and as a result density) and intel's engineers were going to use their learnings/expertise to speed that along?
The 12 nm node will utilize Intel’s U.S. based high-volume manufacturing capacity and experience in FinFET transistor design, offering a strong combination of maturity, performance and power efficiency. The production will markedly benefit from UMC’s decades of process leadership and history of providing customers with Process Design Kit (PDK) and design assistance for effectively providing foundry services.
This statement on the other hand makes it sound like intel is in the drivers seat. To me I can read it as one of a few things. The thing that seems least likely is a half node lib shrink of intel 16 as you would think Fab 24 would be included in this announcement if that was the case.The thing that sounds more likely is this "intel 12" being a foundrified version of 14nm. Question is, if that is the case is it literally a 3rd party friendly 14nm, or are there lots of under the hood changes to the point it more so resembles a true 12nm class node rather than a shrink of 16/14 or a full 10nm class node?

Either way it is curious. I wonder if there is or will be demand for a 12 or 10nm class node in 2027? TSMC abandoned that space and I have no clue how popular 8LPP and it's expensive/complex LELELELE is. Maybe something that slots in below that will tickle the itch of lots of the folks on 16/14 nm?
 
Last edited:
Got to say a surprise but if this collaboration expands would be huge for the Foundry space to put legacy and leading edge nodes all under one umbrella really challenging TSMC


Instead of going through a middleman (UMC or Tower Semiconductor), why doesn't a willing and sizeable customer go to Intel Foundry Services (IFS) directly?
 
Instead of going through a middleman (UMC or Tower Semiconductor), why doesn't a willing and sizeable customer go to Intel Foundry Services (IFS) directly?
Maybe folks really are that gun shy about IFS? If that truly is the case; hopefully MTK on intel 16, the "enterprise/edge" customer on intel 3, and the three 18A customers that evidently cannot be named can turn these perceptions around for intel next.
 
Last edited:
Pat is moving faster than I thought, Intel will provide other companies manufacturing in the US. Then Spin off the Fabs (Name will be US Foundries). When you ask the questions "why would Intel buy from TSMC and why would Intel keep building Fabs?" this is the answer. In 5 years Intel's Finances will be way stronger.

I hope Intel's partners (UMC, Tower, Brookfield) have solid controls on how to manage the partnership
 
Maybe folks really are that gun shy about IFS? If that truly is the case; hopefully MTK on intel 16, the "enterprise/edge" customer on intel 3, and the three 18A customers that evidently cannot be named can turn these perceptions around for intel next.

IFS can not get enough customers to fill up the Fab. So Intel rent out their empty kitchen to other restaurant that have customers.

Under certain arrangements, it's possible that UMC can aquire/operate those matured and fully depreciated fab assets from Intel down the road. UMC might have ways to fully utilize those old Intel fabs with a more competitive and efficient cost structure.

From the today's announcement, it seems to me that in order to persuade UMC, Intel must have some convincing and significant concession made for UMC.
 
Under certain arrangements, it's possible that UMC can aquire/operate those matured and fully depreciated fab assets from Intel down the road. UMC might have ways to fully utilize those old Intel fabs with a more competitive and efficient cost structure.
I don't think that is literally possible without a ton of work. It would be like splinting TSMC fab18 in half for UMC.

1706206101988.png

All the phases share an office and I bet they also share utilities lines and some other site level support facilities. To split the fab would mean new office space, new wiring, pluming, etc. They would also need to figure out how to split labor, and in UMC's case they would need to hire a bunch of extra engineers to fill gaps.

From the today's announcement, it seems to me that in order to persuade UMC, Intel must have some convincing and significant concession made for UMC.
Why do you say that? This seems like it is almost risk free money for UMC. If intel is using their floor space/tools for manufacturing and development, and if all UMC has to do is act as a salesman/help with the PDK/IP then I would image this is a low capital high RoR investment for them that also helps differentiate from the Chinese firms on their bread and butter node 28nm. Seems like a no-brainier for UMC even if all UMC is entitled to is akin a "finders fee" because of the fact UMC doesn't have to use or build any of their own floor space or fab talent.
 
Pat is moving faster than I thought, Intel will provide other companies manufacturing in the US. Then Spin off the Fabs (Name will be US Foundries). When you ask the questions "why would Intel buy from TSMC and why would Intel keep building Fabs?" this is the answer. In 5 years Intel's Finances will be way stronger.

I hope Intel's partners (UMC, Tower, Brookfield) have solid controls on how to manage the partnership
Could not disagree more. Intel is mortgaging the future. They are splitting profits 51%/50% with brookfield to build out some fabs, and taking on all these costs with partnerships/middlemen. How are they going to compete with TSMC when return on equity is going to be eaten all along the way? I'm sure we will hear all the usual pat cockiness on the call but look deeper.
 
When I was close to both UMC and TSMC I asked many times why TSMC did not license technology to UMC. The official word was that the UMC security was not adequate. The unofficial reason was that TSMC really disliked UMC for creating "T like" process technology and taking hard earned business away from TSMC. There have also been CEO issues along the way where the UMC and TSMC CEOs just did not get along. I don't know where that stands today but I can assure you that the Intel announcement will not help with UMC/TSMC relations.

Personally I do not see a big future for UMC. They were down 20% last year and I would guess that half of TSMC's revenue gain this year is higher wafer prices so actually wafer volume will be +10%. Personally I do not see the opportunity for UMC and the other mature foundries to increase wafer pricing. If anything they may have to drop prices since more capacity is being added around the world. UMC does business in Japan and now TSMC is building fabs there. UMC does business in China and China is adding mature node capacity...
 
I don't think that is literally possible without a ton of work. It would be like splinting TSMC fab18 in half for UMC.

View attachment 1630
All the phases share an office and I bet they also share utilities lines and some other site level support facilities. To split the fab would mean new office space, new wiring, pluming, etc. They would also need to figure out how to split labor, and in UMC's case they would need to hire a bunch of extra engineers to fill gaps.


Why do you say that? This seems like it is almost risk free money for UMC. If intel is using their floor space/tools for manufacturing and development, and if all UMC has to do is act as a salesman/help with the PDK/IP then I would image this is a low capital high RoR investment for them that also helps differentiate from the Chinese firms on their bread and butter node 28nm. Seems like a no-brainier for UMC even if all UMC is entitled to is akin a "finders fee" because of the fact UMC doesn't have to use or build any of their own floor space or fab talent.

If we think Intel has no chance to spin off IFS at a future date under any circumstances with any arrangements, then we won't see anything else other than a reseller/development cost sharing agreement between Intel and UMC.

But remember UMC is a for profit business. UMC is in no hurry to help a competitor or a future competitor, Intel. The agreement must come with other significant incentives to UMC.
 
I have never been aligned with Pat's demeanor. I'm more of a speak-softly-and-carry-a-big-stick person, and I like it when people don't see me coming. I think Pat's stick is still growing on a sapling in his back yard. I'm rooting for Intel, but Pat makes me wince.

I may be missing something here — Intel tried to integrate Tower and that failed. I would think the next step is just partnering with other fabs to partner to build Intel’s foundry services capabilities. How is partnering with UMC “brash” as opposed to (potentially) good business?
 
I may be missing something here — Intel tried to integrate Tower and that failed. I would think the next step is just partnering with other fabs to partner to build Intel’s foundry services capabilities. How is partnering with UMC “brash” as opposed to (potentially) good business?
Tower is mostly an analog company, which I thought would be complimentary to Intel's capabilities, in addition to Tower's excellent experience as a customer-oriented fab. What does UMC bring to the party that Intel couldn't do itself?
 
Tower is mostly an analog company, which I thought would be complimentary to Intel's capabilities, in addition to Tower's excellent experience as a customer-oriented fab. What does UMC bring to the party that Intel couldn't do itself?

This looks like a JDA so I would guess that the new process will be low power since that is what UMC is known for and Intel is not. So this could be a mutually beneficial deal. I like the idea of Intel being the foundry for foundries I'm just not sure where the margin is. We shall see.
 
This looks like a JDA so I would guess that the new process will be low power since that is what UMC is known for and Intel is not. So this could be a mutually beneficial deal. I like the idea of Intel being the foundry for foundries I'm just not sure where the margin is. We shall see.
Ok, if you're correct the low-power angle sounds reasonable.
 
Back
Top