Webinar: Extending Innovation with Innovus 20.1 Release

Overview The Cadence® Innovus™ Implementation System continues to extend technology innovation to ensure designers can complete ever larger and more complex designs. During this webinar, Cadence will share the latest Innovus Implementation 20.1 release technology highlights. Topics such as physically aware logic restructuring, advanced hierarchy flows, and machine learning will be discussed, all resulting in …

Webinar: Investigating and Improving Clock Delays

Overview As typical system-on-chip designs grow larger and move to the latest FinFET process nodes, clocking constraints become ever more complex. The Cadence® Innovus™ Implementation System’s CCOpt™ useful skew optimization engine is a powerful tool to close the timing on the latest high-speed designs. Understanding and managing insertion delay is an important part of clock …

Webinar: Extending Innovation with Innovus 20.1 Release (Hebrew)

Overview The Cadence® Innovus™ Implementation System continues to extend technology innovation to ensure designers can complete ever larger and more complex designs. During this webinar, Cadence will share the latest Innovus Implementation 20.1 release technology highlights. Topics such as physically aware logic restructuring, advanced hierarchy flows, and machine learning will be discussed, all resulting in …

CadenceTECHTALK: Static Timing Analysis and Some Important Basics

Online

Date: Thursday, January 26, 2023 Time: 09:00 GMT / 10:00 CET / 11:00 EET & Israel / 14:30 IST Static Timing Analysis (STA) aims to validate the timing performance of a synchronous design. While it is a well-known concept in modern digital implementation flows, for engineers who are not familiar with STA or others who …

CadenceTECHTALK: What’s New – Novel Advancements in the Innovus Implementation System Part 1

Online

The 22.1 release of the Cadence® Innovus™ Implementation System has many exciting new features and flows to improve power, performance, and area (PPA), and turnaround time (TAT) during design implementation. Join us in this CadenceTECHTALK™ to learn about the new capabilities of our digital implementation flow: Part 1 of the webinar will cover the following: flashPG - new …

CadenceTECHTALK: What’s New – Novel Advancements in the Innovus Implementation System Part 2

Online

The 22.1 release of the Cadence® Innovus™ Implementation System has many exciting new features and flows to improve power, performance, and area (PPA), and turnaround time (TAT) during design implementation. Join us in this CadenceTECHTALK™ to learn about the new capabilities of our digital implementation flow: Part 1 of the webinar will cover the following: flashPG - new …