Webinar: Multibody Cislunar Design: A Tour of Concepts (Part 1)

Online

The trajectory and mission design process in cislunar space can benefit from multibody dynamical systems approaches. This two-part webinar will explore such concepts and discussion focused on the first Earth-Moon libration point mission, ARTEMIS (the follow-up to THEMIS), followed by introducing a rapid prototyping tool. TIME: FEBRUARY 22, 2024 11 AM EST / 5 PM …

DVCon U.S. 2024

Hilton San Jose 300 Almaden Blvd, San Jose, CA, United States

The Design & Verification Conference & Exhibition is the premier conference on the application of languages, tools, methodologies and standards for the design and verification of electronic systems and integrated circuits. The focus of this highly technical conference is on the practical aspects of these technologies and their use in leading-edge projects to encourage attendees …

Webinar: Improved Data Routing and Optimization for Large Satellite Constellation Designs

Online

In this upcoming webinar, learn how chains and constellation objects model groups of satellites or other space objects that operate together. You’ll understand how the constellation object represents a group of satellites or space vehicles that follow specific orbits and configurations. TIME: MARCH 13, 2024 11 AM EDT / 4 PM CET / 8:30 PM …

Webinar: Efficient Design Methodology for 112G Interface Compliance

Online

As 112G+ data transfer becomes the new normal, companies risk schedule delays unless they improve the efficiency of their multi-board design methodology. An efficient design methodology looks at signal and power integrity early and often as the design progresses. In addition, with the precision required to meet 112G compliance, companies can take extra steps to …

Webinar: Multibody Cislunar Design: A Tour of Concepts (Part 2)

Online

Join us for Part 2 of the Multibody Cislunar Design: A Tour of Concepts webinar, where we’ll review dynamical systems concepts, including fixed point solutions (i.e., libration/Lagrange points) and periodic orbits. TIME: MARCH 21, 2024 11 AM EDT / 4 PM CET / 8:30 PM IST Venue: Virtual Overview During Part 2 of The Multibody Cislunar …

DATE 2024

Valencia, Spain Valencia, Spain

Design, Automation and Test in Europe Conference | The European Event for Electronic System Design & Test DATE 2024 - Call for Papers The DATE conference is the main European event bringing together designers and design automation users, researchers and vendors as well as specialists in the hardware and software design, test and manufacturing of …

ISQED’24

Seven Hills Conference Center San Francisco State University, 800 Font Blvd, San Francisco, CA, United States

ISQED'24 Conference Information The 25th International Symposium on Quality Electronic Design (ISQED'24) is the premier interdisciplinary and multidisciplinary Electronic Design conference—bridges the gap among Electronic/Semiconductor ecosystem members providing electronic design tools, integrated circuit technologies, semiconductor technology, packaging, assembly & test to achieve total design quality. Past ISQED events have been held with the technical sponsorship of IEEE …

Harmonizing Frequencies: Streamline MMIC Design with Intelligent Design Data Management

Online

In the fast-evolving world of monolithic microwave integrated circuit (MMIC) design, meeting higher-frequency requirements is just the beginning. Are you seeking insights on achieving dimensional accuracy for both analog and RF components? Wondering about the automatic synchronization of schematics and layouts across various electronic design automation (EDA) tools? Trusted by hundreds of IC design organizations …

2024 NAFEMS Eastern Europe Conference

Crown Piast Hotel & Park Kraków Walerego Eljasza-Radzikowskiego 109, Kraków, Poland

The 2024 NAFEMS Eastern Europe Conference will be held on the 24th and 25th of April, 2024 in Kraków, Poland. This event is where the regional simulation engineering community comes together to discuss all aspects associated with engineering analysis. We will be looking at the trends, challenges, best practices, and cutting-edge technological advances that will shape the near, …

Webinar: Thermal Solutions for Electronics Design

Online

Managing the thermal aspects of electronics to avoid excessive heat buildup has a direct impact on reliability. By conducting thorough thermal analysis early in the design processes, engineers can identify problematic hot spots and optimize the appropriate heat dissipation mechanisms to ensure components operate in an appropriate temperature range. Avoiding excessive heat buildup helps ensure …

Webinar: Design, Simulate, and Validate Your Circuit with PSpice

Online

DATE: Wednesday, April 24 TIME: 8:00am PDT | 11:00am EDT | 4:00pm BST |  8:30pm IST PSpice is a high-performance, industry-proven, mixed-signal simulator and waveform viewer for analog and mixed-signal circuits. As one of the most widely used mixed-mode circuit simulators with extensively available models from component and IC vendors, PSpice simulation technology is applicable for product design in …

Masterclass: Deploying Solido Design Environment AI Workflows on AWS

Online

Utilizing AWS cloud resources to accelerate variation-aware verification   AI-powered Solido Design Environment provides SPICE-accurate variation-aware verification for 3, 4, 5, 6 and higher sigma targets, orders of magnitude faster than traditional brute-force methods. With cloud computing made more accessible than before, many teams are considering running design and verification workloads, including Solido Design Environment, on …

Webinar: Automating the Integration Workflow with IP Centric Design

Online

(Work email required for verified registration) During a project, subsystem and full-chip integration plays a crucial role. Integration can be particularly challenging on large SoCs with distributed teams due to complexity of the integration process, multi-site infrastructure issues, as well as the need to collaborate across multiple time zones. Often, integrators must integrate design blocks …