Loading Events

« All Events

  • This event has passed.

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2021

September 26, 2021 - September 30, 2021

PUV20 Landing image right euv

2021 Call for Papers

Present your research at the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies.

A home for your research

As an author, don’t hesitate to submit an abstract. Although much in the world remains uncertain, the one constant is that your work is important. SPIE continues our commitment to providing a forum for information sharing, collaboration, and advancing research that is vital to your community. Prepare your abstract and by doing so you will guarantee that your research is ready to be shared. Find our response to COVID-19 here.

 

Abstracts due
12 May 2021

Author notification
18 July 2021

Online Call for Papers
View 2021 details

Author information

Explore the Call for Papers

Present your research at the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies.

Photomask Technology Extreme Ultraviolet Lithography
Photomask Technology
Design automation and data prep (DFM, OPC, SMO)
Mask write, corrections, process compensation (MPC)
Mask blanks, defects, and metrology (materials, process, control)
Mask process (resist, devlop, etch, cleans)
Metrology (CD, placement, AFM, AIMS)
Defects and defect control: inspection, repair, verification strategies, pellicles, in fab
Simulation and imaging: mask transfer to wafer (LER, SWA, surface roughness)
Nanoimprint lithography tools, mask, transfer, and resists
Deep learning mask technology applications
Extreme Ultraviolet Lithography
EUV readiness and insertion in manufacturing
EUV tools, including sources and optics
EUV mask metrology, inspection, and lifetime
EUV mask and imaging
EUV mask pellicles
EUV resist materials/process and contamination
EUV process control and stochastics
EUV patterning and process enhancement
EUV lithography extendibility
Share this post via: