DesignCon 2011 Trip Reports!

DesignCon 2011 Trip Reports!
by Daniel Payne on 02-01-2011 at 1:38 pm

Cadence at DesignCon 2011

I met with Rahul Deokar, Product Manager this morning to review 9 slides that tell the story of Giga-gates and GigaHz systems design at Cadence. Their updated P&R system now completes jobs 2X faster for 28nm designs.

Silicon Realization Trends and Challenges:

Silicon Realization – end to end digital… Read More


iPDK is the way to go for AMS designs

iPDK is the way to go for AMS designs
by Daniel Payne on 01-19-2011 at 3:47 pm

294 towerjazz logo1 jpg

I just read the press release from TowerJazz and Tanner EDA about how an AMS designer can use schematic symbols and layout generators in Tanner EDA tools for the TowerJazz 0.18um node. This is made possible because of the growing iPDK (Interoperable Process Design Kits) movement.

In the old days each foundry would have to staff up… Read More


Getting to the 32nm/28nm Common Platform node with Mentor IC Tools

Getting to the 32nm/28nm Common Platform node with Mentor IC Tools
by Daniel Payne on 01-17-2011 at 6:04 pm

Last week I talked with two experts at Mentor about the challenges of getting IC designs into the 32nm/28nm node on the Common Platform (IBM, GLOBALFOUNDRIES and Samsung). Global Foundries issued a press release talking about how the four major EDA companies have worked together to qualify EDA tools for this node.

Sudhakar Jilla,… Read More


EDA Mergers and Acquisition

EDA Mergers and Acquisition
by Daniel Payne on 01-17-2011 at 3:15 pm

I met Ian Getreu in Oregon at a monthly EDA networking luncheon several years ago and have kept in touch with him. Ian co-founded Analogy which was later acquired by Avant! (now Synopsys). One thing that Ian noticed over the years was that smaller EDA companies were constantly getting acquired by the bigger and publicly traded EDA… Read More


The Ultimate SPICE Circuit Simulator

The Ultimate SPICE Circuit Simulator
by Daniel Payne on 01-03-2011 at 1:19 pm


I love SPICE and Fast SPICE circuit simulators, so here’s my feature list for the ultimate SPICE circuit simulator:

[LIST=1]

  • Input netlists – HSPICE, Spectre, ELDO
  • Multi-core support – parse and simulate fast and accurate
  • LRC Reduction – built-in LRC reduction with a few knobs to control accuracy
  • Tuning
  • Read More

    Webinar on Accelerating Analog Layout Productivity

    Webinar on Accelerating Analog Layout Productivity
    by Daniel Payne on 12-08-2010 at 11:58 pm

    MONROVIA, California – December 7, 2010 – With pressure to reduce time to market and with resources increasingly constrained, tools that can enable maximum productivity for analog and mixed-signal design are mission-critical. Tanner EDA, the catalyst for innovation for the design, layout and verification of analog and mixed-signal… Read More


    Tanner EDA Then and Now

    Tanner EDA Then and Now
    by Daniel Payne on 11-26-2010 at 9:44 pm

    tanner then now


    Looking back at an early issue of a Tanner Research newsletter, “Tanner Tools News”, from the mid-1990s, the theme at that time was growth, just as it is again now for Tanner EDA. At that time we were averaging 66% revenue growth per year, enjoying rapid growth as a small start-up. Fast-forward to current day, where we… Read More