Banner 800x100 0810

Intel’s Investor Day – Nothing New

Intel’s Investor Day – Nothing New
by Doug O'Laughlin on 02-27-2022 at 6:00 am

https3A2F2Fbucketeer e05bbc84 baa3 437e 9518 adb32be77984.s3.amazonaws.com2Fpublic2Fimages2Fbe32664a cc3a 41e2 8898 d1a1ba57daf1 2400x1240

Intel’s big investor day was anything but big. The stock reacted poorly, down 5% on a day that was a widespread sell-off anyways.

I want to briefly summarize what matters for the stock. There was very little incremental news to the technology roadmap, and the financial outlook was underwhelming, to say the least.

The revenue guide was low single-digit growth, transitioning to mid-single-digit growth, to then double-digit growth in 2026.

They expect to improve gross margins when they get leadership products. They provided this simple bridge. David Zinsner (the new CFO) reiterated multiple times he thinks that this gross margin bridge was conservative.

The real meat of the financial outlook was given in a two-part long-term model. First, is the investment phase model, where they spend heavily to catch up to the industry and bootstrap their foundry business.

And then the longer-term model, when Intel presumably is back in the lead during their fateful 2024 crossover timeline. 2024 is when Pat Gelsinger believes they can take process leadership, and have better revenue growth and margins.

The thing is that the total vision was pretty underwhelming if you ask me. The reason why? Well, it’s consensus already.

It’s Consensus Already

One of the things that frustrated me is that I believe that the gross margin and revenue goals from the analyst day were within 50 bps of street consensus until 2025, and this is why the market found this model so particularly uncompelling. This is what we expected already, and stating it again felt pointless. This comment in particular was extremely off-putting as well.

“I want to double the earnings and double the multiple of this company”.

Historically commenting on the multiple is pretty icky if you ask me. Also if you compare it to the historical multiple it feels pretty unlikely. Will Intel really trade at 28x forward earnings?

Trading at 20x forward earnings would put it in a multiple class Intel hasn’t seen since pre-2008. Albeit growing double digits would also be something Intel hasn’t done since 2018 (~13% YoY revenue growth) and hasn’t done for a period of 3 consecutive years in a row since 2003-2005. There has to be a lot fundamentally different for Intel to deserve that doubling in multiple. We will talk about the doubling in earnings a bit.

Financial Model & Free Cash Flow Levers

The thing that also is a bit frustrating is looking at this company on an earnings basis when they guided for 3 years of flat to negative FCF. Here is my model backing out of their long-term guidance.

Notice doubling earnings from the 2022 level, not the 2021 level. For simplicity’s sake, I took the top end of their ranges. The conservatism that David and Pat stress I think is reflected by me assuming share count doesn’t grow.

So while it trades at “just 10x 2024 earnings” it will make no FCF in that year. There is so much implied in the model for the huge technical and financial turnaround in 2024. Everything in the model hinges on 2024 which is a few years away and implies a huge margin acceleration. From 0% FCF margin to 20% in 2 years, this seems unlikely.

The only thing that really made me believe this is possible is if they play the government incentives game really hard. Their initial capex guidance is based on 10% savings from net spend (their guide) to gross.

David Zinser also thinks that a 30% cost reduction number seems like the number they will get and would be “surprised” if Intel didn’t there.

So from my reading of this situation, Intel could hit the FCF number if they bag the entire savings from a 10% cost reduction to a 30% cost reduction, and then improve total EBIT margins by 800 bps. I think at least 1/3rd of the FCF bridge will be driven by playing around with the net and gross capex assumptions. Pretty heroic assumptions, which brings me to the entire crux of the investor day. We are waiting for 2024.

Waiting for Gadot (aka 2024)

The Intel turnaround is waiting for 2024. We kind of already knew that now we just have financial clarity until that fateful year. The financials were as bad as we expected, and for an Investor day, there was almost no surprise.

Another thing that I keep coming back to is that everyone expects that Intel’s turnaround will mean some drastic and amazing returns for the stock. To be clear if the turnaround happens with no hitches I think that the stock could easily do a 20%+ CAGR to 2026, but I also think that can be said of multiple companies in the semiconductor space at these current prices. I think the problem is that most investors are mentally comparing Intel to their notable competitor: AMD.

I want to be clear, this is not AMD. AMD went from almost no share to meaningful amounts of share and massively improved their economics in that time period. The torque of 10% to 40% share and 20% gross margins to 50% margins will not be repeated. The best case for Intel I think is something that is a 20%+ CAGR, or a rough tripling. That’s a great return! But I want to say this is the BEST CASE and is still contingent on a meaningful amount of execution risk and zero FCF for multiple years along the way. Investor day didn’t really give us much hope other than to wait until 2024.

We are still just waiting for 2024 to see the process leadership be regained.


Also, it looks like the crux of what I said about Tower tipping their hand of Intel becoming a holding company seems true.

The other thing I’ve said is that, “Hey, I’d like to do a Mobileye-like spin on our foundry business at some point as well.” I’m going to keep the structure, as opposed to integrating as much, I’m going to keep it more separate to enable that, which means I’m going to leverage a lot more of Tower and the expertise that it builds over time as part of it.

Ala the venerable Stratechery.

The screenshots from above are from a very simplistic model that I used to replicate the investor day goals. It is behind the paywall for paying subscribers only. Feel free to mess with the assumptions yourself. The FCF part of the model is disconnected from earnings, mostly because of how meaningful the D&A cost ramp will be for the next few years.

Subscribe

Also Read:

Semiconductor Earnings Roundup

Tower Semi Buyout Tips Intel’s Hand

The Rising Tide of Semiconductor Cost

TSMC Earnings – The Handoff from Mobile to HPC


Podcast EP64: The real story behind Fairchild Semiconductor

Podcast EP64: The real story behind Fairchild Semiconductor
by Daniel Nenni on 02-25-2022 at 10:00 am

Dan is joined by John East, the former CEO of Actel. In the sixth episode of Semiconductor Insiders John explained the beginnings of Fairchild Semiconductor and the significance of the Traitorous Eight.

In this follow-up discussion, John recounts the rise and fall of Fairchild Semiconductor. This is a turbulent and significant chapter in semiconductor history. John’s eye-witness account is revealing, entertaining and thought-provoking. These are some of the stories in John’s new book. The details of that are discussed as well. A key lesson conveyed in the discussion is the difference between knowing how to make semiconductors vs. knowing what semiconductors to make.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


CEO Interview: Tamas Olaszi of Jade Design Automation

CEO Interview: Tamas Olaszi of Jade Design Automation
by Daniel Nenni on 02-25-2022 at 6:00 am

Tamas Olaszi
rpt

Why does the industry need another register management tool? This is a question that Tamas Olaszi, the founder of Jade Design Automation hears from time to time since Jade-DA brought Register Manager, their EDA tool, to market. So why?

There is a genuine answer to this question but first let me use this interview to give some helpful information to the Semiwiki audience. Some people will come here while looking for a new register management solution for a startup or replace an existing solution that has some issues. I would like to give them an overview of this space so they can make an informed decision. What commercial register management tools are out there? How to manage the HW/SW interface? How to generate Verilog from CSRs? Is there an open source SystemRDL tool? Can we use IP-XACT for capturing our register descriptions?

Ok, so who are the commercial tool vendors in this space?

At the time of this interview, in alphabetical order they are Agnisys, Jade Design Automation, Magillem (acquired by Arteris now) and Semifore. However, the history here is quite interesting. Commercial tools for register management started to appear around 2006-2008. Jade-DA was not around at that time but the other three started roughly at the same time. There was a fourth player though, called Duolog Technologies and I was working there as a Hardware Design Engineer when Duolog launched its Bitwise product. I transitioned into an FAE role supporting Duolog’s register management tool and lived and worked in India, France, US and Ireland as well in the subsequent years. I had the chance to see the adoption of these tools by various semiconductor companies around the globe and gather first hand customer feedback. Duolog was doing quite well with its EDA tools and the company was acquired by Arm in 2014 which stirred up things a little bit.

At Arm, I first was responsible for an internal tooling team and we were developing and customizing register management and SoC assembly tools for Arm’s specific needs. I then moved on to manage an open source embedded software team responsible for writing the SW for the IoT test chips of Arm. This was also very educational to me as I now have been involved in the last piece of the HW/SW interface jigsaw puzzle – I have experienced the full workflow from the first time when the System Architect drafts up the top level memory map to the last bit when the SW engineers checks in the last driver code for the Board Support Package to bring up the chip. I thought my tooling days were behind me at this stage but then on a sunny Tuesday morning Arm announced that it would discontinue the Duolog EDA product line.

This was an understandable move from an IP company that acquired an EDA company – they repurposed the tools they needed to do IP configuration and discontinued the ones that were not related to the core business. This proved to be a seminal moment for me and this led eventually to the founding of Jade Design Automation.

You mentioned that you were leading an internal tooling team. Shouldn’t internal tools be right choice for register management?

They can be. Really, the main advantages of the internal solution is that it is tailored to the company’s needs and that the support is that nice person sitting two seats away from you. This kind of flexibility has a very real value and it is a cliche in our industry that our biggest competitor is the internal solution. Many great people came up with many great solutions at different companies.

The risk here is maintenance. Those aforementioned great people may get promoted, would like to take their career in a different direction or change jobs. There is also a cost which is invisible because it is not monetary but opportunity cost. Usually the person maintaining the internal solution is a very capable HW design or verification engineer who could work on the core business of the company.

Then there are other free alternatives like various open source solutions. What do you think about those?

Again, those could be a viable alternative as well. There are many of them and I am going to list the few here that I know of in order to help people evaluate their options. At various stages I came across airhdl, pyrift, a register tool in the Open Titan project and systemrdl-compiler. Out of these, SystemRDL Compiler is the project I followed more closely and I greatly admire the work of the guy who is behind the project.

What I have heard from talking to people is that these open source projects tend to become the basis of an internal tool. They are great for jump starting the deployment of a register management solution but they usually need to be tailored to the company’s needs so they kind of get forked internally and built upon.

So with these, how would a company select a register management solution?

I suppose the factors they need to take into account are the following:

  • Data model – should it be a standard like IP-XACT or SystemRDL or proprietary that offers more flexibility
  • Features – what kind of generators they need, how big their designs, what are their performance requirements, do they need a GUI, etc.
  • Flexibility – it is very likely that every solution out there will need some level of customization so it is important to know how easy it is to custom fit the solution
  • Support – I suppose the turnaround time matters here the most, whether getting a fix to a problem takes two days or two months
  • Cost – which is self explanatory

The commercial vendors all made their decisions regarding the data model, the tool architecture and the business model. The open source solutions can also be evaluated along these criteria and of course the internal solution leaves all these open.

Can we get an answer now to the original question? Why does the industry need another register management tool?

At that moment when it was announced that Arm would discontinue the Duolog EDA line, I felt that this could be a great opportunity. The team there built up a lot of experience in the domain of register management both with a small EDA company supporting customers worldwide and as part of an internal tooling team of a major semiconductor company. There was the chance to build something new that would incorporate all these experiences into a tool built on a modern data model with modern technologies.

The fact that there is still a plethora of different solutions out there, commercial, internal and open source alike shows that this problem is still not convincingly solved. Most solutions stop at about 80% where it is “good enough” for that particular company or individual – but not necessarily for everyone else. In my vision, it is possible to have the holy grail of data models that cover almost all of the required constructs across the industry and leave only the last mile to a flexible customization framework. With that, we could reach a de-facto standard for register management which would also enable seamless transfer of register data within the ecosystem.

With this interview, I didn’t want to pretend that we exist in a vacuum. Our potential customers have a variety of options to choose from. There are all these factors of data model, feature set, flexibility, support and cost that they need to take into account and each company puts different weight on them. I am happy to lay all the cards on the table because I am quite confident that Jade Design Automation’s Register Manager can compete head-on with any of the alternatives.

https://jade-da.com/

Tamas Olaszi, the founder of Jade Design Automation, started his career as a HW design and verification engineer at an Irish design service company. Along with the company, he pivoted into the world of EDA working as a Field Application Engineer while living and working in India, France, Ireland and the US for several years. Along these years he worked closely with companies like Texas Instruments, Qualcomm, Western Digital and Nokia among others. After the company’s acquisition, he worked at Arm for five years where he was responsible for building up and managing an open source embedded SW team with a remit of building a secure SW foundation for the IoT test chips of Arm. Since founding Jade Design Automation in 2019 he is working towards a vision of a register management tool that outperforms all of the alternatives in all the relevant metrics.

Also read:

CEO Interview: John Mortensen of Comcores

CEO Interviews: Kurt Busch, CEO of Syntiant

CEO Interview: Mo Faisal of Movellus


Integrated 2D NoC vs a Soft Implemented 2D NoC

Integrated 2D NoC vs a Soft Implemented 2D NoC
by Kalar Rajendiran on 02-24-2022 at 10:00 am

Routing of cnv2d design using Speedster7t 2D NoC

We are living in the age of big data and the future is going to be even more data centric. Today’s major market drivers all have one thing in common: efficient management of data. Whether it is 5G, hyperscale computing, artificial intelligence, autonomous vehicles, or IoT, there is data creation, processing, transmission, and storage all around us. All of these aspects of data management need to happen very fast.  Data center operators cannot afford to tolerate data traffic jams anywhere in the data path. They need to process incoming data efficiently and move the data to its destination rapidly. The underlying system hardware architecture design is a critical factor in allowing rapid data transfer.  Therefore, the selecting of the right processing architecture will have a major impact on performance.

SemiWiki has covered the Achronix Speedster7t FPGA devices and their various features in several posts because of their innovative 2D NoC features.  For rapidly moving data throughout a chip, there is little contention that a Network-on-Chip (NoC) is an excellent approach. A NoC architecture is better at moving data than a conventional bus architecture and a crossbar architecture. But should you implement your own 2D NoC on an FPGA fabric or leverage a pre-built 2D NoC? Is one 2D NoC implementation better than another? This is the question that Achronix answers in a recently published whitepaper titled “The Achronix Integrated 2D NoC Enables High-Bandwidth Designs.” Of course, the comparison study is between Achronix’s own 2D NoC and a soft implemented 2D NoC on their Speedster7t family of FPGAs. This post is a synthesis of what I gathered from the whitepaper.

The Contender 2D-NoC

The soft 2D NoC chosen for the comparison project is from Milan Polytechnic (https://github.com/agalimberti/NoCRouter, 2017) based on peer reviews and ease of portability to an FPGA fabric. It implements a wormhole lookahead predictive switching in a unidirectional mesh.

Benchmark Design

To quantify the differences between the Speedster7t 2D NoC and the soft implementation using FPGA fabric resources, a two dimensional convolution (Conv2d) design was created. This design performs AlexNet 2D convolution on an input image and contains 19 instances the Conv2d design.

The Metrics Used for Comparison

The metrics that are picked for comparison of any two solutions should be relevant and important to the solutions’ users. In the context of what is being compared, the following metrics were chosen:

  • How many resources are needed for each of the two solutions?
  • What is the performance of the design using each solution?
  • How long does it take to design and compile the design?

Results from Achronix’s 2D NoC Comparison Study

Performance

The use of the integrated 2D NoC produces an elegant, repeatable structure to place and route the design that results in regular routing with less congestion. Refer to Figure below. Using the Achronix’s integrated 2D NoC achieves a maximum frequency of 565MHz for the Conv2d design.

Routing of the Conv2 Design Using the Achronix Integrated 2D NoC

Compare the above with the complex, irregular and congested routing when using the soft implemented 2D NoC. Refer to Figure below. Timing is also compromised as deep LUT logic is needed to select the appropriate paths in the soft implemented 2D NoC.

Routing of the Conv2d Design Using the Soft Implemented 2D NoC

Design and Compile Time

The full-featured implementation of the Achronix integrated 2D NoC eliminates a large amount of design work for the users. For example, built-in features such as clock-domain crossing logic, transaction flow control, and decoding of addresses. This allows designers to concentrate just on the value added user logic connecting to the 2D NoC. Along with reduced design time, a design that utilizes the Achronix integrated 2D NoC uses fewer resources than one that uses a soft implemented 2D NoC. The result is less logic to place and route, and results in faster compile time through the tools.

Summary

The Speedster7t architecture significantly improves design productivity while making the Achronix FPGAs very effective for high-bandwidth applications. The designs benefit from reduced logic utilization, reduced memory requirements and increased performance. You can download the whitepaper here. Refer to the table below for the results from the comparative study.

Conv2d Design and Comparison of the 2D NoCs

Also read:

2D NoC Based FPGAs Valuable for SmartNIC Implementation

Five Reasons Why a High Performance Reconfigurable SmartNIC Demands a 2D NoC

Take the Achronix Speedster7t FPGA for a Test Drive in the Lab

 


Scalable Verification Solutions at Siemens EDA

Scalable Verification Solutions at Siemens EDA
by Daniel Nenni on 02-24-2022 at 6:00 am

Andy Meier 2

Lauro Rizzatti recently interviewed Andy Meier, product manager in the Scalable Verification Solutions Division at Siemens EDA. Andy is a product manager in the Scalable Verification Solutions Division at Siemens EDA. Andy has held positions in the electronics and high-tech fields during his 20-year career including: Sr. Product Marketing manager at Siemens EDA, Product Marketing manager at Mentor Graphics, Solution Product manager at Hitachi Data Systems, Director of Application engineering at Carbon Design Systems, and Sr. Verification Engineer at SiCortex. He holds a Bachelor of Science degree in Engineering and Computer Engineering from Worcester Polytechnic Institute in Worcester, Mass.

Thank you for meeting with me, Andy. It is a pleasure to talk with you. One of the major challenges SoC verification and validation teams has is ensuring correct system operation with real workloads. What is at the core of this challenge?

The core of this challenge comes down to the fact that hardware and software teams have different perspectives and different debug needs when tasked with ensuring correct system operation. Often hardware and RTL design teams rely on waveforms to debug while software developers need a full-functioning software debugger. The problem is when there is an issue that they both need to be involved in, such as debug, each set of users is speaking a different debug language. They need a way to speak a common debug language and correlate between both the hardware teams and the software teams.

How is it possible for them to so call, speak a common language?

In our Codelink product, we have a correlation engine that allows our customers to do just that. It is one of the greatest strengths of Codelink. As I said the SW team is looking for a full functioning SW debugger. Being able to single step the SW execution while looking at a source code view, CPU registers and memory views of what is happening in the SoC is invaluable. To then be able to correlate that to exactly what is happening at the RTL level by looking at the waves is extremely powerful. This is what truly enables the HW/SW co-verification use case.

Can you share a real-world customer example of the HW/SW co-verification use case?

Recently, a customer came to us with a unique challenge. This customer had a six-stage boot process that jumped from CPU to CPU through the ‘power on’ sequence where the CPUs came from different vendors. Ultimately, they were tasked with integrating the IP as well as validating the multi-stage boot process of their SoC. The customers existing verification and validation methodologies didn’t have a unified way to debug this scenario. They would look at waveforms from one simulation or emulation run at a specific stage, and then use different tool sets from different CPU vendors to debug the following stage. There was no common unified debug. To make matters more challenging, the team involved in this verification and validation effort was the SoC integration team. This team didn’t have domain expertise of the hardware design, and they didn’t have the software expertise on what individual blocks were responsible for what. Still, they were tasked with validating and making sure that the SoC booted properly. They were interested in using a new solution to address their needs.

Using standard features from Codelink, like the source code view, the register view, and the correlation engine  as well as RTL Waves from an emulation run, the customer created and adopted a new methodology focused on unifying their debug. Using this new methodology, they were able to look at the multi-core capabilities of their SoC and debug the software execution as it jumped through the various stages to ensure things were operating as expected.

That’s quite interesting. Beyond what you just described, what are some current industry trends that present other challenges?

In terms of trends, we see the use cases expanding beyond just traditional SW debug and HW/SW co-validation. Customers have expanding SoC requirements, and these requirements are driving new opportunities for expansion of SW-enabled verification.

For example, customers are trying to understand how their software is performing. They are trying to understand the behavior of different event handlers execution, and if those event handlers are executing within the budgeted amount of time. To address this additional use case in an emulation environment, we have added into our Codelink tool software performance profiling. This allows customers to identify where the time is being spent, and the functions that are called most frequently. This is key for customers that are working on hardware and software partitioning or customers trying to tune their SoC performance. One can imagine a case where an event handler is supposed to execute within a certain amount of time, but for one reason or another, it doesn’t. The customer can now isolate where the time is being spent from a software perspective, and then tune the performance.

We’ve also recently seen from both simulation and emulation customers, where providing SW code coverage helped aid in the SW verification and validation. An example where this was used, was when the customer’s SW-enabled verification methodology had randomly generated SW in it. The randomly generated SW acted like test vectors for their SoC. Due to its random nature, the customer needed to know exactly what SW test scenarios had been covered and executed. We’ve added SW code coverage to Codelink to address this need. All that was needed from the customer was the software executable and debug symbols, which they already needed to execute the workload. The validation team can now look at the SW Code coverage report and see what statements, functions, conditions, or branches were covered during the execution.

What other industry trends are you seeing?

With different SoC market verticals, such as automotive, IoT, 5G, Enterprise Data Centers, etc., we have seen a need to increase our collaboration with our CPU IP partners. Over time, we’ve built strong partnerships in collaboration with different IP suppliers to provide Codelink debug capabilities for those CPU IPs. Recently we have seen a significant increase in requests for RISC-V CPU support from the RISC-V community. In collaboration with SiFive, we have been able to add Codelink support for several SiFive CPUs. This is just one example. By being CPU IP vendor agnostic, it allows us to work with all the IP vendors to meet the customers needs.

There is some interesting work going on in this space, Andy. Thank you again for your time. Maybe we can catch up in a year and look at your continued progress.

Also read:

Power Analysis in Advanced SoCs. A Siemens EDA Perspective

Faster Time to RTL Simulation Using Incremental Build Flows

SIP Modules Solve Numerous Scaling Problems – But Introduce New Issues


Working with the Unified Power Format

Working with the Unified Power Format
by Daniel Payne on 02-23-2022 at 10:00 am

UPF design flow min

The Accellera organization created the concept of a Unified Power Format (UPF) back in 2006, and by 2007 they shared version 1.0 so that chip designers would have a standard way to communicate the power intentions of IP blocks and full chips. By 2009 the IEEE received the Accellera donation on UPF , reviewed multiple drafts and published IEEE Std 1801-2009, also known as UPF 2.0. In 2013 the IEEE updated it to 1801-2013, or UPF 2.1. Updates to UPF continued into 2015 and 2018 as well.

Why do we even need UPF? 

RTL languages like VHDL and SystemVerilog don’t include the notion of power intent, just logic functionality, so something needed to be added for power intent. Here’s an EDA tool flow showing where power intent files are used during design, verification and even implementation:

Source: IEEE

What’s Inside a UPF File?

Power intent is defined using an extension of the Tool Command Language (Tcl) and has the following components:

  • Power Domains
  • Power Supply Network
  • Power State Table
  • Isolation Strategies
  • Retention Strategies
  • Level Shifter Strategies
  • Repeater Strategies

Who Creates UPF Files?

Your IP vendors supply RTL code, and constraint UPF file, then your design team adds a  configuration UPF file for the specific context. Implementation-specific UPF files are also added along the way, so the EDA tool flow becomes:

UPF files and tool flow

How about using Hierarchy?

UPF supports both flat and hierarchical descriptions, and in general it’s recommended to align power domains with your logic hierarchy to keep life simple. If you choose to implement your design from the bottom-up, then ports need to be added in your UPF descriptions.

In a traditional bottom-up methodology an engineer would read all of the UPF files, then start manually editing each UPF file to ready them for merging into a top file. The UPF top-level file would be manually created, with care made to verify that proper syntax was typed. The power rules would also be verified before and after promotion.

Here’s a diagram showing a simple chip design example with four instances, named A, B, C, D; and at the top-level you can describe this with either hierarchy (left side), or as a flat design (right side):

UPF hierarchy or flat

With the hierarchy example on the left, we have five UPF files, while in the flat example on the right only one UPF file. Switching between using UPF hierarchy or flat is called promotion or demotion, and as you can imagine involves some detailed Tcl editing. Sure, you could manually do these operations and hope that you got all of the edits correct, or you could use an EDA tool designed for this purpose.

Going from a single, flat UPF file to a hierarchical collection of UPF files is called demotion, and once again, there is manual editing required. If any RTL code is modified during this process, then that adds more UPF file editing.

Thanks to EDA vendor Defacto Technologies we now have such an EDA tool to manage jointly UPF and RTL pre-synthesis through SoC Compiler. During the front-end SoC build process a design team has many files to manage, and SoC Compiler enables an engineer to quickly work with RTL, IP-XACT, UPF and SDC files.

With SoC Compiler an engineer can easily manage power-intent hierarchy, out of the box, with two simple APIs: promote_power_intent, demote_power_intent. Typical applications where UPF promotion and demotion are used in a project include:

  • When exporting an IP block from an SoC for reuse
  • Integrating multiple IPs into an SoC
  • Using hierarchical synthesis

Summary

They say that necessity is the mother of invention, and the development team at Defacto has taken a look at how the UPF standard came about, and noted that processes like promotion and demotion to work with hierarchy has required far too many manual, error-prone editing steps. Their UPF automation embodied in the SoC Compiler tool provides much-needed relief to SoC teams by quickly using UPF promotion or demotion.

Webinar

There’s a March 10th webinar from 10AM to 11AM PST all about SoC Compiler from the team at Defacto Technologies, so sign up here.

Related Blogs


Power Analysis in Advanced SoCs. A Siemens EDA Perspective

Power Analysis in Advanced SoCs. A Siemens EDA Perspective
by Bernard Murphy on 02-23-2022 at 6:00 am

Power verification methods min

The success of modern battery-powered products depends as much on useful operating time between charges as on functionality. FinFET process technologies overtook earlier planar CMOS in part because they significantly reduce leakage power. But they exacerbate dynamic power consumption thanks to increased pin capacitances. SoC designers must invest considerable effort in sophisticated strategies to reduce dynamic power across expected use-cases. The complexity of these strategies makes early power analysis in such advanced SoCs essential.

Two challenges in power estimation

Power estimation in a gate-level model, the traditional method of choice, is quite accurate but far too late in the design cycle to guide meaningful optimization. Designers need estimation during RTL design. This level of estimation is less accurate than gate-level but allows for much faster iteration on power improvements earlier in the development cycle.

A second challenge is to accurately model use-cases. Dynamic power management strategies build on turning off pieces of functionality whenever possible, sometimes down to a quite granular level of functionality. How effective this will be in reducing overall power is heavily dependent on how the SoC is exercised by the application. You can only assess meaningful options against realistic use cases such as a video game or a realistic object recognition task in a video stream. Simulation-based estimation cannot handle tasks of this complexity. These are only practical in emulation-based modeling.

Must the whole circuit be emulated?

Not at all. It is very practical to run in a hybrid mode, where some parts of the circuit run in C/C++ or Fast models on a host and others run on the emulator, with tightly managed coupling between these two. I see several needs for this approach. First, it can be valuable in early modeling where much of the circuitry may only be available in architectural models.

Second, some aspects of bring up, such as Linux boot, may not be very relevant to power estimation. This part can run on the host at near real-time speeds, not needing the detailed visibility required for power estimation. The critical part of the use-case for power estimation is where the game or object recognition starts running. This is where designers are most likely to find power bugs. Places where power spikes above an expected level. Or you expect it to drop but it doesn’t. This aspect of the use case will run on dedicated functionality in the design – GPUs, AI accelerators, and other related hardware. You can model these in the emulator, gaining fine-grained visibility into signal toggles.

Getting more ambitious

A third reason I sometimes see for hybrid modeling is to efficiently model very large designs. If an SoC contains a cluster of cores, maybe you only need to model one in RTL for early analysis purposes. You can handle the others  as software models on the host. This can speed finding and fixing many power bugs, leaving your signoff cleanup for full circuit emulation.

This approach becomes very interesting when you consider emerging chiplet-based design. In one way this is simply a scaled-up version of this third reason, although in practice there are added complexities – coherent link interfaces between chiplets and added latency in those interfaces.

Clearly the direction for pre-silicon power estimation in modern SoCs depends on emulation. Learn more about how Siemens EDA sees this domain.

Also Read:

Faster Time to RTL Simulation Using Incremental Build Flows

SIP Modules Solve Numerous Scaling Problems – But Introduce New Issues

MBIST Power Creates Lurking Danger for SOCs


The Clash Between 5G and Airline Safety

The Clash Between 5G and Airline Safety
by Tom Simon on 02-22-2022 at 10:00 am

5G and Airline Safety

For 5G to really deliver on its promise of high bandwidth and good coverage, it needs to use an RF band known as C-Band (3.7 to 4.4 GHz). This band is ideal because its frequency is high enough to offer 100MHz wide channels and also low enough that signal attenuation, especially in urban areas, is minimal.  In 2020 the FCC auctioned off the portion of the GHz C-Band from 3.7 to 3.98 GHz to 5G service providers. However, it is important to note that in the C-Band the range from 4.2 – 4.4 GHz, with a guard band down to 4.0 GHz, is still reserved for Aircraft Safety and Radar Systems. The segment that was auctioned off had previously been used for ground links for satellites, which posed no risk of interference with radar altimeters.

5G and Airline Safety

Unlike the previous use for satellite links, the new 5G application calls for transmitters near airports aiming signals at near ground level – the exact locations where the adjacent Radar Altimeter Band is used to assist commercial aircraft in category 3 automatic landings during restricted visibility. While the bands do not overlap there can be significant issues due to out of band transmission from the powerful 5G base stations causing receiver interference in the altitude radar equipment. In a perfect world the base stations would only produce electromagnetic radiation in their licensed band, and the radar altimeter would be able to reject any signals out of its band. However, the radar altimeter equipment was designed and approved before the new 5G interference could have been foreseen.

I had a chance to speak with Shawn Carpenter, Program Director at Ansys for 5G & Space, about this issue which has blown up in the last month or two because 5G carriers are now in the process of turning on 5G service in base stations near airport approaches. The carriers have spent billions of dollars on these band allocations, but the FAA is extremely concerned that stray emissions could leave aircraft blind on approach. We have all seen the news about the conflict between the cell carriers and the airlines. It seems that some accommodation has been reached for now, but a long-term solution is needed.

Redesigning certified aviation equipment is a complex and time-consuming process. The total power for the radar altimeter is around 1 watt. The maximum height it is used at is around 2500 feet. At this height the signal loss on return is approaching 90 db. Thus, you can see that the receiver needs to be fairly sensitive. The addition of filters is an option but there will be limitations on them due to sensitivity requirements.

Changing 5G antenna characteristics or redesigning 5G base station circuitry may provide a quicker path. No matter how the problem is mitigated there will be simulation work to assess the effectiveness based on the radar altimeter design, base station design or location. Shawn showed me how Ansys has been able to model antenna patterns for 5G AP and the radar altimeter antenna on a 777. This can be set up for specific airports to provide insight into the potential severity at each site. They also have looked at scenarios where filters are put either on the 5G base station or the Altimeter Radar input stage.

This problem will not be going away anytime soon, there are two more C-Band segments that are even closer to the Radar Altimeter band that will be auctioned off for use in the future. While there have been some missteps, there is a lot of money and potentially people’s lives at stake. So, a long-term solution will have to be worked out. Regardless of whether the radar altimeters or the base station equipment is redesigned, extensive simulation and validation of electromagnetic signal issues will be required. Full modeling of the special effects including antennas, terrain, equipment locations, etc., will need to be performed. Ansys has a series of interesting articles on their website that have more information on this issue and the techniques that can be used to ensure airline safety while meeting the needs of the 5G carriers. The first article is 5G and Aircraft Safety: How Simulation Can Help to Ensure Passenger Safety and the second one is 5G and Aircraft Safety Part 2: Simulating Altimeter Antenna Interference.

Also Read

The Hitchhiker’s Guide to HFSS Meshing

The 5G Rollout Safety Controversy

Can you Simulate me now? Ansys and Keysight Prototype in 5G


Six Essential Steps For Optimizing EDA Productivity

Six Essential Steps For Optimizing EDA Productivity
by Kalar Rajendiran on 02-22-2022 at 6:00 am

Semiconductor Design Phases and Needs

Altair® Accelerator™ was the focus of a couple of SemiWiki posts last year. The most recent post covers the enterprise-grade job scheduler’s latest updates and an earlier one discussed its patented Rapid Scaling feature. You can refer to these blogs here. While these posts provide insight into how Accelerator can help increase EDA productivity, it is just one piece of a total solution. The Semiconductor industry is among the most technologically advanced industries. It pushes the edge on multiple fronts in all phases starting from product concept through production silicon and beyond. The complexity and variety of EDA tools required for each phase makes the task of optimizing productivity challenging.

Altair has analyzed the EDA productivity topic ‘Six ways to Sunday’ and come up with a comprehensive approach for a total solution. Altair shares the details in a recently published whitepaper titled “Six Smarter Scheduling Techniques For Optimizing EDA Productivity.” This post provides a summary of the salient points from that whitepaper.

EDA Requirements For Different Design Phases

The following table describes the functional tasks and the EDA tools used at different phases of a design cycle.

Some of the functional tasks are more compute-intensive and time-consuming than others. For example, RTL simulations on some designs can take hours or even days to execute. But gate-level simulations can be even slower, taking days or even weeks to complete. While most of the functional tasks utilize software EDA tools, some leverage hardware emulation platforms. EDA productivity initiatives are about meeting time-to-market goals by running EDA jobs as quickly and efficiently as possible. This translates to achieving high-throughput, high resource utilization, effective use of software licenses and maximizing use of on-prem and cloud-based infrastructure.

The following are the six steps involved in optimizing EDA productivity at an enterprise level.

Monitor and Measure: The Pre-requisites for Optimizing EDA Productivity

Have you ever driven over a cable that runs across one or more lanes of a road and heard a beep or two? It is the Transportation Department in action, monitoring and measuring traffic count and patterns. The data collected is analyzed to help make decisions on infrastructure projects aimed at managing and streamlining traffic flow.

Similarly, optimizing EDA productivity starts with “monitoring and measuring” as the first step. It is critical to be aware of issues such as jobs queueing for excessive periods. Or, for example, if an application requests 4GB of memory and two cores from the scheduler but only uses 1GB and one core, it is important to measure that. Additionally, with EDA licenses being as expensive as they are, ensuring they are sufficiently allocated to the most critical projects without being in costly surplus is essential.

Tools like Altair® Monitor™, a real-time license monitoring and optimization solution, and , Altair® Mistral and Altair® Breeze™, which provide live system telemetry and I/O monitoring, are available for this step. Based on information gathered through these tools, administrators can tune scheduling policies to maximize utilization and improve productivity. For example, Breeze can provide detailed analysis of all I/O activity of an application, based on which the optimal place for storing files can be established.

Job Scheduling for High-Throughput

Job schedulers need to consider job dependencies, time window policies, and relative priorities of jobs among other things. Schedulers should also be capable of job preemption and resource reservations to support urgent jobs. The number of demands on the scheduler plays a direct role in the throughput that can be achieved. And the internal design of the scheduler can also affect the throughput. The Figure below shows the difference between a cycle-based scheduler and an event-based scheduler.

Altair Accelerator is an event-based enterprise-grade job scheduler that delivers high-throughput for even the most demanding EDA requirements. For environments needing an even higher throughput, Altair’s customers can deploy the Altair® Accelerator™ Plus, a hierarchical scheduler architected to offload the base scheduler. Accelerator Plus can help customers realize a 6-10x throughput improvement without changes to the workloads themselves.

License Matching for High-Throughput

A job scheduler needs to take license availability before dispatching EDA jobs. There are a number of common strategies for handling license resources. However, even the most sophisticated strategies can fall short under certain circumstances. For example, periodically checking for license availability with a configurable linger period should work in theory,  but optimally configuring this linger period is difficult in practice. Consequently, jobs may fail due to unavailable licenses or wait in the queue pending license availability when licenses are actually available.

To overcome these complexities, Altair employs license matching to achieve better utilization. Accelerator maintains an internal table that matches license checkouts reported by the license manager to jobs known to the scheduler. License matching, together with an event-based scheduler, can deliver higher throughput and utilization than other approaches. And that is what Accelerator delivers, high throughput. With further optimizations possible through Accelerator (stated but not discussed in the whitepaper), Altair claims that companies can reach utilization as high as 85%-97%.

Benefits of Flow Tracing

Many functional tasks are performed repetitively and involve multiple steps that are dependent on the outcome of the prior step. While it is always a good thing to avoid redundant and/or unnecessary computations, it is critical on long-running regression tests.

Altair® FlowTracer™ is an advanced design flow development and execution platform that offers flow visualization and troubleshooting capabilities. Using this tool, designers can visualize complex EDA workflows and employ conditional execution of some steps or run experiments in parallel.

Job Scheduling on Emulation Hardware

The benefits are well-understood for leveraging platforms such as the Cadence® Palladium® Z1 and Z2 emulation, Mentor Graphics® Veloce®, and Synopsys® ZeBu®. Traditionally, these hardware resources have been manually managed as per the workloads. But the sharing of hardware emulators among design teams brings forth its own set of challenges. Ideally, their allocation should be automated just as the EDA software tools are.

Altair® Hero™ comes to the rescue. Hero stands for Hardware Emulation Resource Optimizer and is an end-to-end enterprise job scheduler designed for hardware emulation environments. It is a vendor-agnostic solution capable of managing job scheduling requirements for the above mentioned product families of emulation hardware. Through this tool, companies can maximize the use of expensive emulators, dramatically reduce regression testing times and improve time-to-market.

Cloud or Not, Altair is There

While many companies still operate on-prem server farms, they increasingly augment their design capacity with cloud computing resources. Whether a company operates fully on-prem, occasionally cloud-bursting, fully on cloud or a regular hybrid, Altair Accelerator can help. Accelerator’s Rapid Scaling feature can shut down instances after a pre-configured period of idle time. It can judiciously use both On-Demand and Spot cloud pricing to reduce costs. You can refer to an earlier SemiWiki post to learn how Amazon (Annapurna Labs) benefited from Accelerator’s Rapid Scaling feature.

Another valuable offering from is their Altair® NavOps® tool. This tool allows easy deployment and management of hybrid cloud environments across multiple clouds. NavOps has a track record of dynamically provisioning cloud environments at extreme scales. One such example is an environment that comprised of more than one million vCPUs for accelerating engineering simulations.

Summary

By implementing the six steps discussed above, companies can achieve a higher level of EDA productivity. Altair offers tools and solutions for each of the six steps. For more details, you can download the whitepaper here.

Also Read

Latest Updates to Altair Accelerator, the Industry’s Fastest Enterprise Job Scheduler

Chip Design in the Cloud – Annapurna Labs and Altair

Webinar: Annapurna Labs and Altair Team up for Rapid Chip Design in the Cloud


Automated Documentation of Space-Borne FPGA Designs

Automated Documentation of Space-Borne FPGA Designs
by Daniel Nenni on 02-21-2022 at 10:00 am

Kepler Schem

Over the past three years, I’ve spoken frequently with Cristian Amitroaie, CEO and co-founder of AMIQ EDA, to understand how the company is helping engineers cope with the challenges of chip design and verification. With their broad customer base and many years of experience in the EDA business, the folks at AMIQ really seem to understand what their users need and how they can help.

As I was thinking that it was about time to touch base with them again, it occurred to me that I had never had the chance to interview one of their users. As soon as I asked, Cristian introduced me to three engineers from Kepler Communications Inc. Alexander Smith is an FPGA designer, Eric Murphy Zaremba is a member of the software team, and Francisco Morales is a project manager. They kindly agreed to spend some time with me to talk about the challenges they face in their chip projects and how AMIQ EDA has been able to help. Here’s a summary of our discussion.

Q: Let’s start with the company. I see from your website URL Kepler.space that you appear to be developing space-based applications. Is this accurate?

A: Very much so. Our mission is to build the Internet in space. We design and build satellites that are launched into low-earth orbit.

Q: It seems that a bunch of companies are providing satellite-based Internet connectivity; what makes Kepler unique?

A: Good question. We are very different. All those other companies are trying to use satellites to provide Internet access to Earth-based users. Our goal is to provide Internet access to space-borne asserts, including satellites, space stations, launch vehicles, and habitats.

Q: But those applications already talk to Earth and can access the Internet that way. Where does Kepler come in?

A: Satellite communication requires line of sight, so there are many times when space-borne assets are out of contact with the ground. A typical customer of ours has only a few ground stations, so connectivity is highly intermittent. It is expensive and logistically difficult to license ground stations all around the world. With our full constellation of satellites, we will always be in contact with the ground and able to connect any space-borne asset with the Internet 24×7. Our Internet in space provides universal access with speed and reliability comparable to what’s available on Earth.

Q: Doesn’t that require a lot of satellites?

A: Yes, it does. When fully built out, we will have 100-200 satellites in our constellation. We have 19 in operation already, so at this point we can provide space-based store-and-forward data services. With every additional group of satellites deployed, we move closer to universal real-time Internet connectivity in space.

Q: That is fascinating. Do you develop the satellites yourselves?

A: Yes, we design and assemble the payloads in house. We use FPGAs to implement what is essentially a software-defined radio to provide the connectivity both to other space assets and to ground stations on Earth.

Q: Can you give me a sense of the complexity of your designs and your design flow?

A: We use a Xilinx FPGA that has around 270K look-up tables (LUTs), so it’s significant in size. We have more than 450 Kepler-written RTL modules and almost 300 additional modules from other library and IP sources. The design includes an Arm processor, so software plays a key role in system operation. Our design flow is fairly standard: we write SystemVerilog RTL code and feed it into Xilinx logic synthesis and place-and-route tools. The design itself is quite complex and challenging, but we haven’t had any major issues in design implementation.

Q: What issues did you have in your development process?

A: Well, documentation turned out to be a big challenge. Given that we’re developing a whole new type of application, our design is quite novel, and it evolved a lot over the course of the project as we learned new things and added more functionality. Every time this happened, it was vital that the design documentation be updated correctly to match the design changes. Of course, when a module changes, designers of the adjoining modules need to know. Many hardware changes also require updates to the embedded software running on the Arm processor, and the documentation is the official way to communicate between the two teams.

Q: How did you address this issue?

A: Several members of the team had experience with Python’s built-in documentation capabilities and with Doxygen, which automatically generates documentation from annotated C/C++ code. We thought that there might be a similar open-source solution for SystemVerilog, but we uncovered none that supported all the constructs we used. When we investigated commercial solutions, we quickly found that only Specador Documentation Generator from AMIQ EDA had the features we needed.

Q: How do you use Specador to generate your documentation?

A: Because it’s built on the same technology that AMIQ uses for all its tools, it fully comprehends the SystemVerilog design. It automatically documents the design hierarchy by reading the modules and ports from the RTL code. It generates usage lists for submodules, including the conditions from generate statements. We were particularly impressed by this; it’s a good example of how Specador really “understands” the code.

The generation includes the contents of comment blocks, so we can add text to be incorporated in the documentation. We can create tables more easily than with other methods we’ve used in the past. Specador also generates nice diagrams for finite state machines (FSMs) and timing diagrams as specified with the popular Wavedrom format.

Q: That sounds like a lot of functionality. Is there more?

A: We should also mention the generation of register maps, since the application programming interface (API) for the registers is the main point of interaction between the software and the hardware. We write up register maps in code comments, which Specador includes in the documentation. The automatic regeneration of documents whenever registers change ensures that the software and hardware stay in sync. In fact, the programmers include permalinks to the relevant documentation pages right in their embedded source code.

Q: Who uses the generated documentation? Internal teams only, or customers as well?

A: As mentioned earlier, the documentation is critical for hardware and software engineers to stay in sync. We use our boards only for our own systems, so there’s no need for end customer documentation. However, we have used subcontractors to help with our projects, and we used to have to update their documentation by hand. We can now keep them in sync as well.

Q: How is Specador run in your development flow?

A: We use a continuous integration (CI) methodology, in which builds and tests are automatically triggered whenever RTL or software is checked in. Specador is run at least once a day on the whole design automatically as part of the nightly build process, and sometimes more frequently by individual users.

Q: Is there anything you don’t like about Specador?

A: The generated documentation is sparse, but it serves our needs for internal communication. Specador can be a bit “overzealous” in doing certain types of formatting. We just go back and tweak our code, but perhaps a bit more control would be nice. We’ve also requested a more intuitive way to specify register maps since the hardware/software API is so important to us. AMIQ has been responsive to our suggestions, and we haven’t found any serious problems with the tool.

Q: Can you quantify the benefits of using Specador for your FPGA designs?

A: Clearly, we save minutes or hours manually editing the documentation whenever something changes in the design, and that adds up over time. Since the documentation remains in sync, it serves as the communication mechanism it should be. This saves multiple email messages and voice calls among team members each day as the design evolves. This has been even more valuable during the pandemic, with many engineers working from home on varying schedules. We can’t just stick our heads into nearby cubicles to ask quick questions.

Perhaps the biggest saving comes from not having to debug tricky problems that occur when the hardware and software get out of sync. It’s hard to quantify, but surely that saves weeks of effort throughout the course of the project.

Q: Do you have any changes planned for the future?

A: We have every intention of continuing to use Specador on all our designs. It’s been a real benefit for us.

Q: Thank you for sharing all this great information!

A: It was a pleasure.

Also read:

Continuous Integration of RISC-V Testbenches

Continuous Integration of UVM Testbenches

What’s New with UVM and UVM Checking?