Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/tsmc-technology-symposium-discussion.12929/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

TSMC Technology Symposium Discussion

Daniel Nenni

Admin
Staff member
We will cover this event in more detail but there is a Q&A later tonight so I am collecting questions. Post here or contact me on SemiWiki.com private messaging. As much as I like mingling with the crowd at live events I really like this prerecorded format. The keynotes are recorded separately and will be available for three months. More than 2k people were logged in from 500+ different companies. That is a big crowd for an invitation only event.

A quick note on the first guest keynote.

Who better than to highlight the advantages of working with TSMC than a 28 year Intel veteran? And if you look at the "world-class technology and engineering team" she assembled it is all ex Intel executives. Ampere's backers include Oracle ($40M) and ARM (Softbank) so you can guess what is inside the chips.

Her talk was very well done highlighting the advantages of working with TSMC versus an IDM foundry. It is nice to see TSMC do some aggressive competitive marketing. I credit CC Wei, he is a VERY strong leader and I would put him in the same rank with Jensen Haung Nvidia CEO and Tim Cook of Apple, absolutely.

Renee J. James, Founder/Chairman and CEO
Renee James founded Ampere® Computing in 2017 where she is currently the Chairman and CEO. Ampere produces high performance microprocessors for cloud and edge computing. Renee had a lengthy career with Intel Corporation where she was the President of the company until her departure in 2016. Throughout her 28-year tenure with Intel, she led research and development, held several general management positions and led the global manufacturing organization. In 2019, James was on the Fast Company list of 100 Most Creative People in Business. She also serves as a member of the Board of Directors for Citigroup, Vodafone and Sabre and is an Operating Executive with the Carlyle Group.

Growth in the server market has increased significantly as many workloads supporting data storage, artificial intelligence and rich content are moving to the cloud at unprecedented speed with growth projected to escalate. Yet, the majority of today’s cloud infrastructure is still using 30-year-old processor technology. To address the requirements of the modern cloud, Ampere has designed the industry’s first server microprocessor architecture from the ground up. Its 64-bit Arm processors deliver performance, scalability, security, and power efficiency that is uniquely focused on today’s hyperscale cloud and edge computing workloads and applications.

Renee James, a semiconductor industry veteran, founded Ampere in 2018 and is the company’s Chairman and CEO. Since the founding she has assembled a world-class technology and engineering team. Together they have invented the forward-looking solution that cloud providers need for what comes next in cloud-based processor technology.

With an experienced technical team and a superior design methodology, Ampere is laser focused on innovating cloud technology. Along with the thriving and diverse Arm software ecosystem and strong partnerships, Ampere is bringing a new standard to the hyperscale market — a market where highly-scalable processing capability and reduced power consumption can translate into hundreds of millions of dollars in profits and savings to customers. By providing a new level of predictable performance and efficiency, Ampere enables hyperscalers to focus on growing their cloud services with underlying server infrastructure that can handle today’s compute demands.
 
Last edited:
Advanced Technology Leadership
YJ Mii, SVP R&D TSMC

N7 Update:

N7 is powering the latest 5G device/infrastructure and AI/HPC with over 140 tape-outs to date and more than 200 are expected in 2020
1B+ N7 based chips have been shipped to date
N7+ is the first EUV included process technology to hit HVM

N6 Update:
N6 provides a substantial cost benefit over N7 with 18% logic density improvement
N6 is fully backward compatible to N7

N5 Update:
Started volume production in 1H 2020
Another industry first
15% faster speed or 30% less power, and 1.8x logic density over N7
N5P (N5 Enhancement) adds 5% power or 10% speed gain over N5

N4 Preview:
Extension of N5 with N5 compatibility
Risk start targeted for 4Q2021 with HVP in 2022

N3 Update:
FinFET based, most advanced FinFET logic process in the world
Full node scaling
Complete platform support for mobile and HPC
Risk production in 2021 and volume production in 2H2022
Targeted at 10-15% performance gain or 25-30% power gain and 1.7X density over N5

Beyond N3:
New Transistor structures (FinFET, Nanosheet/Nanowires)
New transistor materials (High mobility channel, 2D amterials, Carbon nanotube)
32Mb nano-sheet SRAM is yielding with circuit performance increase

YJ also covered some of the advanced technologies that TSMC is pioneering to scale down to 2N. I have asked Tom Dillinger to blog this in more detail so stay tuned.
 
Last edited:
Specialty Technology Leadership (non digital)
Kevin Zhang, SVP BD TSMC


Special technology:
RF CMOS, Embedded Flash, 3D CIS, HV, BCD - Power IC, 3D CMOS MEMS, Mixed Signal, and Analog

Specialty technology growth: 2.5M wafers in 2009 up to 12.7 in 2019. 17% CAGR.
10k+ products for 500+ different customers
More than a dozen different nodes down to 7nm

Specialty is 30% of TSMC revenue and 10% of CAPEX

Ultra low power platform for AIoT
N12e (ergonomic) Technology review versus 22NUL:
Speed 1.49x or Power .45x and 1.76x density (already in risk production)

Next generation RF technology at N6

eNVM Leadership .18um to 16nm

Emerging NVM technology: RRAM and MRAM is in production at 40nm and 22nm, 16nm is next

New PMIC, CIS, Mobile Display, technology platforms
 
Last edited:
Advanced Packaging Technology Leadership
Doug Yu, VP R&D TSMC


Advanced Packaging-Backend 3D CoWos and InFO (in 100+ products shipping today)
Chip stacking-frontend 3DTSMC SoIC CoW and WoW
Enabling System Level Innovations

New TSMC 3D Fabric advanced packaging solutions for mobile and HPC

I have asked Tom Dillinger to blog this presentation in more detail. There was a lot of material covered!
 
Manufacturing Excellence
YP Chin, SVP Operations TSMC


Capacity growth from 2016 to 2020 28% CAGR in response to customer requirements
N7 capacity growth over three years (2018-2020) is greater than 3.5x
N5 capacity growth over three years (2020-2022) is expected to be 3x
N5 D0 trend is better than N7 at the same stage (EUV is key)
TSMC leads the industry in EUV HVM
TSMC has about half of the EUV installed systems
TSMC provides more than 50% of the EUV based wafers worldwide
Specialty technology capacity growth in 2020 will be 10% YoY
TSMC is the logic capacity leader worldwide (based on monthly wafers)

YP did an update on new fab construction and future plans in Tianan (N3 ) and Hsinchu (N2). Very aggressive plans! No mention of expansion plans for China or Arizona.

He also did a nice pitch on how TSMC is going green which is a big deal for semiconductor manufacture in Taiwan, for both water and power.

Presentation Summary:
Commit to investing in capacity expansion to support customer needs
Continue to deliver manufacturing excellence to speed customer innovation
Strive for Green Manufacturing through innovative initiatives
 
TSMC Press Event Backgrounder Unleashing Innovation in the New Normal

Semiconductors are crucial to our world
‒ Semiconductors are fundamental part of everyday life. They are not just used in our smartphones, PCs, data centers, automobiles and electronic gadgets around us but also behind the scenes in applications such as factory automation and our telecommunications infrastructure.
‒ Technology connects us during difficult times, but is also on the front lines of the fight against COVID-19, in temperature sensors, driverless delivery vehicles, and robotics. Computing power is needed to help researchers study the disease and find treatments.
‒ All of this is raising demand for the most advanced silicon technology that the semiconductor industry can provide. As part of our ongoing commitment to help our customers unleash their innovation, TSMC has increased an additional $1 billion to our CAPEX plan for 2020, raising it to between $16 billion and $17 billion in 2020.
‒ In addition, we’ve seen a huge jump in internet usage, and the mega-trends of 5G deployment and AI adoption has actually accelerated even more. We expect these two mega-trends to continue for a long time and TSMC will be there to collaborate with our ecosystem partners and support our customers.

TSMC is committed to invest in technology advancement: Advanced Technology, Specialty Technology and Advanced Packaging Technology
‒ We continue to increase both our R&D expense and manpower over the years to provide you the right technologies at the right time to unleash your innovation.
‒ As part of our ongoing promise to continue supporting our customer’s growth and innovation, TSMC has invested significantly in both advanced and specialty technology. Our advanced technology capacity has increased by a CAGR of 28% and specialty technology investment has increased by a CAGR of 17% in the last 5 years. This continued investment has allowed us to build the industry’s largest and most comprehensive portfolio with ample capacity to serve customers' various needs. In 2019, we deployed over 270 technologies to provide over 10,000 products for approximately 500 customers.

Advanced Technology: A Key Enabler for New Technologies under AI and 5G Megatrends
Customer product adoption of 7nm continues to be very strong
‒ Over 1 billion working 7nm chips have been shipped to customers to power the latest 5G devices and infrastructures, and AI and HPC applications. In addition, TSMC was the first foundry to bring EUV technology to commercial production at the 7nm generation.

N5 is leading the industry to start volume production
‒ TSMC is ramping our N5 volume production – an industry first, providing up to 80% logic density gain, a 15% performance gain or a 30% power reduction over N7. We plan to ramp an enhanced version of N5, called N5P, in 2021, which brings an additional 5% speed gain and 10% power improvement over N5.

Introducing N4
‒ N4, the newest member of the 5nm family of processes, will be a straightforward migration from N5 with fully compatible design rules, providing additional performance, power and density enhancements. This will allow customer to leverage the fully developed N5 design infrastructure and benefit from its highly competitive cost/performance advantage versus N5. Risk production for N4 will start in Q4 of 2021, with volume production targeted for 2022. TSMC is confident that 5nm family will be another large and long lasting node for the Company.

N3
‒ N3 is poised to be the most advanced foundry technology in the world with 70% logic density gain, 15% performance gain, and up to a 30% power reduction over N5.
‒ With innovative architectural features, TSMC N3 process is a full generation advance from N5. After carefully evaluating customer needs, TSMC’s N3 will continue to use the FinFET transistor structure to deliver the best technology maturity, performance, and cost. It has complete platform support for both mobile and HPC applications, and we believe it’ll be the most suitable choice for the 3nm geometry to help our customers continue pushing the boundaries of performance.
‒ Risk production for N3 is scheduled to begin in 2021, with volume production targeted for the second half of 2022.

And beyond
‒ We have made some major breakthroughs in new materials and architectures, such as high mobility channel, nanosheet/nanowire, 2D materials, carbon nanotube, and more.

Specialty Technology
Specialty technology is the bridge between the physical and the digital world. TSMC offers the broadest portfolio of specialty technologies, including MEMS, image sensors, embedded memory, RF, analog, high voltage, and power ICs. They combine seamlessly with TSMC’s advanced logic technology to provide optimal system-level solutions for our customers.

Devices around us are becoming smarter, capable of functions such as understanding natural speech or recognizing images. TSMC supports our customers’ innovations in bringing together AI and the Internet of Things with our Ultra Low Power platform.

N12e
‒ TSMC’s most advanced ultra-lower power technology is N12e, optimized for edge AI devices. N12e builds on our 12FFC+ technology to re-use the existing IP ecosystem. It is the first ultra-low power technology to use FinFET transistors, providing significant enhancements to speed, power and logic density. As well, it supports ultra-low leakage devices and ultra-low Vdd design, down to 0.4V. This allows us to enable cutting-edge innovations in artificial intelligence on IoT (AIoT), mobile and other edge applications.

Advanced Packaging
TSMC 3DFabric
‒ 3DFabric is TSMC’s comprehensive family of 3D Silicon Stacking and Advanced Packaging Technologies, which complements our semiconductor technologies to enable our customers to deliver on their product vision. It offers the ultimate flexibility in product design, giving our customers the freedom and advantage to design their products more holistically as a system
-Decreases time-to-market, allowing for faster innovation and reintegration of functional blocks
-Improved performance by integrating High Bandwidth Memory into devices. Reduces idle time, keeps compute engines fed and operates at lower clock speeds.

Allows customers to integrate DRAM with SOCs, reducing the size of the mainboard and allowing for the integration of RF and sensor technologies.

Green Manufacturing
Make chips greener
‒ TSMC improves IC chips energy efficiency for each new generation of technology.
-Innovative initiatives to reduce consumption in advanced node ‒ As we move towards more complex processes and N5, TSMC is striving to decrease:  Energy unit consumption by 1.9X
-GHG unit emissions by 1.7X
-Water unit consumption by 2.2X
-Waste unit generation by 1.7X

Green Performance
‒ TSMC is proud to be using far less energy than other countries’ semiconductor fabs, with the following statistics (per unit of production):
-1 kWh/cm2 of energy usage
-0.2 kgCO2/cm2 fluorinated greenhouse gas emissions
-5 L/cm2 water usage
-0.1 g/cm2 of landfill waste

Long term commitment
‒ TSMC is committed to pursue more environmental-friendly manufacturing that creates maximum value with minimum resources, here are the list of our 2030 goals:
-Quadruple global semiconductor computing energy efficiency by 2030 (Base year: 2015)
-Drive Low-Carbon Manufacturing: Reduce greenhouse gas emissions per unit of production (metric ton of carbon dioxide equivalent (MTCO2e)/8-inch equivalent wafer mask layer) by 40% from 2010 level.
-Renewable Energy Adoption: Renewable energy accounts for 20% of energy consumption of new fabs starting from 3nm, and the purchasing of renewable energy to increase annually to achieve 25% renewable energy for fabs and 100% renewable energy for non-fab facilities.

Note: TSMC became the first semiconductor company to join RE100 in July 2020 and pledged that power consumption of all the Company’s manufacturing plants and offices will be 100% supplied from renewable energy by 2050.

Increase Energy Efficiency:
Save 5,000 GWh cumulatively between 2016 and 2030 through implementation of new energy-saving measures; Double energy efficiency after five years of mass production for each process technology
-Risk Management of Water Resources: Reduce unit water consumption (liter/8- inch equivalent wafer mask layer) by 30% (Base year: 2010)  Develop Diverse Water Sources: Increase the replacement rate of regenerated water by more than 30%
-Waste Management: Outsourced unit waste disposal per wafer (kilogram/8-inch equivalent wafer mask layer) ≦ 0.22
-Circular Economy: Develop multiple types of electronics-grade chemicals for TSMC’s resource circulation
-Air Pollution Control: By 2030, reduce air pollutant emissions per unit of production by 45% from 2015 level; Reduction rate of volatile organic gases > 98%

Note: To know more about TSMC’s Advanced Technology, Specialty Technology or 3D Frabric, please visit our Corporate Blog on TSMC website as follows: Introducing TSMC 3DFabric TSMC’s Family of 3D Silicon Stacking, Advanced Packaging Technologies and Services Link: https://www.tsmc.com/english/newsEvents/blog_article_20200803.htm TSMC N12e: Powering the Next Generation of AI and 5G era IOT Edge Devices Link: https://www.tsmc.com/english/newsEvents/blog_article_20200802.htm Celebrating One Billion 7nm Chips: Why Scale Matters Link: https://www.tsmc.com/english/newsEvents/blog_article_20200801.htm Specialty Technology: Linking the Digital and Physical Worlds Link: https://www.tsmc.com/english/newsEvents/blog_article_20200703.htm

About TSMC TSMC pioneered the pure-play foundry business model when it was founded in 1987, and has been the world’s largest dedicated semiconductor foundry ever since. The Company supports a thriving ecosystem of global customers and partners with the industry’s leading process technologies and portfolio of design enablement solutions to unleash innovation for the global semiconductor industry. With global operations spanning Asia, Europe, and North America, TSMC serves as a committed corporate citizen around the world and has been selected as a component of Dow Jones Sustainability Indices (DJSI) for 19 consecutive years in 2019. .

TSMC deployed 272 distinct process technologies, and manufactured 10,761 products for 499 customers in 2019 by providing broadest range of advanced, specialty and advanced packaging technology services. TSMC is the first foundry to provide 5-nanometer production capabilities, the most advanced semiconductor process technology available in the world. The Company owns a total of 39,118 patents as of December 31, 2019.

TSMC-manufactured semiconductors serve a global customer base that is large and diverse and includes a wide range of applications in the computer, communications, consumer, and industrial/standard segments. These products are used in a variety of end markets including mobile devices, high performance computing, automotive electronics and the Internet of Things (IoT). Strong diversification helps to smooth fluctuations in demand, which in turn helps TSMC maintain higher levels of capacity utilization and profitability, and generate healthy returns for future investment. 2019 total revenue reached a new high at US$34.6 billion. TSMC is headquartered in Hsinchu, Taiwan, and provides customer service, account management and engineering services through offices in North America, Europe, Japan, China, and South Korea. At the end of 2019, the Company and its subsidiaries employed more than 51,000 people worldwide. For more information please visit https://www.tsmc.com.
 
Daniel, thanks for sharing. Wish to know more about 3DFabric and comparison to intel's ODI.
 
Wants to know more about N2 plan and timeline. There was an article on digitimes mentioned TSMC stepping up 2nm R&D with a major client.
 
Wants to know more about N2 plan and timeline. There was an article on digitimes mentioned TSMC stepping up 2nm R&D with a major client.

TSMC would not comment on that. They only speak in detail about the coming node which is N3. But if you look at the roadmap of TSMC they deliver a new "full" process node every two years. Given that N5 is 2020 and N3 is 2022, N2 should be in production in 2024, my guess. If you are interested in more information on GAA Nanowire here is an excellent presentation:
 

Attachments

  • micromachines-11-00223-v2.pdf
    982.9 KB · Views: 327
Back
Top