Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/intel-to-utilize-tsmc%E2%80%99s-2nm-process-in-next-gen-%E2%80%9Cnova-lake%E2%80%9D-cpus.19513/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Intel To Utilize TSMC’s 2nm Process In Next-Gen “Nova Lake” CPUs

fansink

Active member
Taiwan Economic Daily reports that TSMC's first batch of 2nm chips, which are expected to come into production by 2025, has reportedly gained interest from Apple, Intel, and other companies. Since Apple has been an exclusive customer of TSMC, it is said that the firm has managed to reserve a portion of the 2nm supply for its next-generation iPhones, and as stated previously, we might see the debut of the process with Apple's iPhone 17 Pro, which will feature successors of the A-series SoCs, given that the company sticks to its current naming scheme.

Apart from Apple, it is being reported that Team Blue might slide into the list of TSMC's 2nm clients since the firm is expected to utilize it for its future Nova Lake CPU lineup. The mention of Nova Lake in the industry hasn't been that much, mainly since the release is still years away, but we did see a glimpse of it just recently when the famous software application HWiNFO added support for the lineup's integrated graphics, which is either going to be an upgraded version of Xe3-LPG, or Intel might decide to add the "Druid" architecture.


Opinions?
 
Doubtful. Intel is very focused on backside power delivery and TSMC does not have it yet. Even when they do it will probably be a different implementation. TSMC N2 could be used for supporting chiplets but not monolithic chips or CPU/GPU chiplets which are quite large.
 
Taiwan Economic Daily reports that TSMC's first batch of 2nm chips, which are expected to come into production by 2025, has reportedly gained interest from Apple, Intel, and other companies. Since Apple has been an exclusive customer of TSMC, it is said that the firm has managed to reserve a portion of the 2nm supply for its next-generation iPhones, and as stated previously, we might see the debut of the process with Apple's iPhone 17 Pro, which will feature successors of the A-series SoCs, given that the company sticks to its current naming scheme.

Apart from Apple, it is being reported that Team Blue might slide into the list of TSMC's 2nm clients since the firm is expected to utilize it for its future Nova Lake CPU lineup. The mention of Nova Lake in the industry hasn't been that much, mainly since the release is still years away, but we did see a glimpse of it just recently when the famous software application HWiNFO added support for the lineup's integrated graphics, which is either going to be an upgraded version of Xe3-LPG, or Intel might decide to add the "Druid" architecture.


Opinions?
From Pat Gelsinger in intel 2023Q4 earnings call comment:
"We could not be prouder of the execution across our process technology roadmap in 2023. We became the world’s first high-volume manufacturer of logic devices using EUV (extreme ultraviolet technology) in both the U.S. and Europe as we aggressively ramp Core® Ultra™ on Intel 4 in both Oregon and Ireland. Intel 3 achieved manufacturing readiness in Q4, as committed, with solid performance and yield progression. Our two lead vehicles on Intel 3 are on-track and we look forward to launching Sierra Forest in the first half of 2024, followed shortly thereafter by Granite Rapids. Sierra Forest has final samples at customers and the production stepping of Granite Rapids is running ahead of schedule, well into power-on validation and very healthy. We are even more excited about breaking into the Angstrom era with Intel 20A and Intel 18A. We are first-in-industry to have incorporated both gate-all-around and back-side power delivery in a single process node, the latter, an expected two years ahead of our competition. Arrow Lake, our lead Intel 20A vehicle, will launch this year. Intel 18A is expected to achieve manufacturing readiness in the second half of 2024, completing our five-nodes-in-four-years journey and bringing us back to process leadership. I am pleased to say that Clearwater Forest, our first Intel 18A part for servers, has already gone into fab and Panther Lake for clients will be heading into fab shortly."
Upon this good progress in intel 3 and 20A/18A and heavy capacity investment, does intel need to put 2nm order in tsmc? It is questionable or something wrong.
 
To add on, 2026 is a year after when intel says the first 18A products are out. If 18A was a disaster wouldn't you expect CWF and PNL to be N2 rather than a chip releasing later? It is also weird to think that TSMC N2+BSPDN in year 0 would be more mature than 18A 3 years after ARL. Additionally TSMC said every major fabless firm except for 1 is using N2. If intel is on N2, who out there is more confident in intel process tech than intel? The one customer of the four that pre-paid?
 
Last edited:
Arrow Lake, our lead Intel 20A vehicle, will launch this year.
Just the way that Meteor lake launched in 2023?
Leaks from three different sources say that Arrow Lake is mostly TSMC - even the CPU chiplet is TSMC 3nm for most of the SKUs. Some rumours say that the SKUs with 20A CPU chiplets will actually launch in early 2025.
 
To add on, 2026 is a year after when intel says the first 18A products are out. If 18A was a disaster wouldn't you expect CWF and PNL to be N2 rather than a chip releasing later? It is also weird to think that TSMC N2+BSPDN in year 0 would be more mature than 18A 3 years after ARL. Additionally TSMC said every major fabless firm except for 1 is using N2. If intel is on N2, who out there is more confident in intel process tech than intel? The one customer of the four that pre-paid?

"Almost everybody work with TSMC on 2-nanometer, except one"

I think he was referencing N3 customers, not fabless firms, which is why I think it is Intel. CC's sharp wit also suggests it is Intel.
 
"Almost everybody work with TSMC on 2-nanometer, except one"

I think he was referencing N3 customers, not fabless firms, which is why I think it is Intel. CC's sharp wit also suggests it is Intel.
Fair confusion. I was counting intel BUs as a fabless company given the internal foundry model.
 
What’s the latest on N2 anyways? Not much was really said on the earnings call. Looking good or what? How about compared to N3’s success?
 
What’s the latest on N2 anyways? Not much was really said on the earnings call. Looking good or what?
It sounds fine to me. Density uplift isn't great, but Vmin reduction and P-P commit seems good. Due to the complexities of GAA and BSPDNs TSMC said the customers were colaborating earlier than usual. My guess is that should help reduce the likelihood of folks jumping to greener pastures so to say because they already put design resources in.
How about compared to N3’s success?
Literally an impossible act to follow up given that it won't be the only game in town. The cost per FET situation with N2 looks a bit fuzzy to me (same thing happened with 16FF so I am not worried long term). You can also get PPA that is "close enough if you squint" from N3P while using the finFET knowledge folks built up over the last decade. N2+BSPDN giving a nice area and P-P bump will IMO open a more compelling argument to upgrade, and I think we will see alot of HPC and some mobile starts with N2/N2P with BSP with HPC customers like NVIDIA and AMD skipping FS N2 in its entirety.

I think this situation is further showed in the lower CAPEX and TSMC building out their N2 fabs across multiple sites at a more staggered pace. There were also rumors of slower tool deliveries and as a result ramp. However, unless we don't see an N2 2025 iPhone, I am not convinced by one random story from the TW press. Rumors about fabs are even at the best of times dodgy. For example: N3 still having yield issues in 2023, N3 yield issues in 2024, Mark Liu fired over Fab 21 in AZ, intel 10nm is canceled, intel 4 yields are disastrous because intel doesn't know how to use EUV, GF will use CHIPs money to get back in the leading edge, etc etc.
 
Last edited:
This is from CC Wei about N2:

At the same time, as process technology complexity increased the engagement lead time with customer also started much earlier. There is almost all the AI innovators are working with TSMC and we are observing a much higher level of customer interest and engagement at N2 as compared with N3 at a similar stage from both HPC and the smartphone applications.

Our 2-nanometer technology will adopt narrow sheet transistor structure and be the most advanced semiconductor technology in the industry in both density and energy efficient when it is introduced in 2025. Our N2 technology development is progressing well with device performance and yield on track or ahead of plan. N2 is on track for volume production in 2025 with the ramp of similar to N3.

As part of our N2 technology platform, we also developed the N2 with backside power rail solution, which is better suited for specific HPC applications based on performance, course, and maturity considerations and we expect at power rail will be available in the second half of 2025 to customers with production in 2026. With our technology of continuous enhancement, N2 its derivative will further extend our technology leadership position and enabled TSMC to capture the AI-related course opportunities going to the future.
 
N2 starts production at second half of 2025, eliminating any chance of a N2 2025 iPhone. They need to have production started at least one quarter before the launch date.
I thought in 2022 they said production moved from end of 25 to 1H25? I could be crossing wires in my brain though.
 
From Pat Gelsinger in intel 2023Q4 earnings call comment:
"We could not be prouder of the execution across our process technology roadmap in 2023. We became the world’s first high-volume manufacturer of logic devices using EUV (extreme ultraviolet technology) in both the U.S. and Europe as we aggressively ramp Core® Ultra™ on Intel 4 in both Oregon and Ireland. Intel 3 achieved manufacturing readiness in Q4, as committed, with solid performance and yield progression. Our two lead vehicles on Intel 3 are on-track and we look forward to launching Sierra Forest in the first half of 2024, followed shortly thereafter by Granite Rapids. Sierra Forest has final samples at customers and the production stepping of Granite Rapids is running ahead of schedule, well into power-on validation and very healthy. We are even more excited about breaking into the Angstrom era with Intel 20A and Intel 18A. We are first-in-industry to have incorporated both gate-all-around and back-side power delivery in a single process node, the latter, an expected two years ahead of our competition. Arrow Lake, our lead Intel 20A vehicle, will launch this year. Intel 18A is expected to achieve manufacturing readiness in the second half of 2024, completing our five-nodes-in-four-years journey and bringing us back to process leadership. I am pleased to say that Clearwater Forest, our first Intel 18A part for servers, has already gone into fab and Panther Lake for clients will be heading into fab shortly."
Upon this good progress in intel 3 and 20A/18A and heavy capacity investment, does intel need to put 2nm order in tsmc? It is questionable or something wrong.
Intel has stated repeatedly .... including in the recent 10K that increasing use of third part sourced for silicon is part of IDM2.0 Plan. I dont know what they will or will not do on any given chip, but they will continue to increase outsourcing to foundries (according to official Intel documentation).

@Daniel Nenni comments on Backside power are interesting... Can you mix some chiplets with backside power and others without it in the same package on the same base die? I have no idea
 
It makes sense that in needing factory capacity for serving IFS customers, and where that factory capacity costs enormous CapEx and Intel is not exactly flush with cash, that Intel would keep some of its own designs on TSMC as a way to
1. stay on competitive leading edge nodes
2. not be manufacturing supply constrained for either their own or their potential customer’s designs and
3. reduce overall CapEx required to run the IDM 2.0 scheme.

I guess this is the “smart capital” stuff at play.
 
This might shed additional light - https://www.extremetech.com/computing/intel-nova-lake-cpus-to-use-tsmc-2nm-report

Lunar Lake on TSMC 3nm, and Nova Lake on TSMC 2nm?
Reminder, Lunar Lake is not a Follow on to Arrow Lake. Its a different architecture/market. The reason for using all TSMC is Integration of the CPU, GPU and SOC (i think) architectures. Intel continues to do parallel solutions and adjusting the target market later. "Intel has more lakes than Minnesota"
 
Late 2026 might bring ARC Celestial (Xe3 graphics) to the iGPU; it’s possible Intel would want TSMC N2 for that tile/die. That said, If N2 isn’t significantly denser/more performant than the later N3 variants, I could see Intel skipping N2 for ARC.
 
I thought in 2022 they said production moved from end of 25 to 1H25? I could be crossing wires in my brain though.
2025 iPhone Pro/ProMax will be based on N3P. This could explain why CC Wei keep using N3P to compare with Intel 18A because N3P and 18A will be the most advanced HVM nodes in 2025.

As for N2, tsmc should do a ceremony in the end of 2025 to claim they achieve mass production in 2025, like they did for N3B on Christmas in 2022.
 
Back
Top