Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?search/240462/&t=thread&c[content]=thread&c[users]=tonyget&o=date
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Search results

  1. T

    $6.6 Billion in Subsidies to TSMC Won’t Fix Deep Market Structure Issues In Semiconductor Industry

    In response to news that the Biden administration has directed $6.6 billion in subsidies to Taiwan Semiconductor Manufacturing Co’s (TSMC) Arizona subsidiary, as part of the U.S. CHIPS and Science Act, the American Economic Liberties Project released the following statement. “TSMC, and its...
  2. T

    Dutch government tries to stop ASML from moving out

    https://www.euronews.com/business/2024/03/07/dutch-government-tries-to-stop-asml-from-moving-out The Netherlands is increasingly tightening its anti-migrant position. That could push out its largest company, ASML, because of its high dependence on foreign talent. The Dutch government is...
  3. T

    Samsung Sells Down Entire ASML Stake

    https://www.bnnbloomberg.ca/samsung-sells-down-entire-asml-stake-to-expand-in-new-arenas-1.2037224 Samsung Electronics Co. sold its entire remaining stake in ASML Holding NV in the December quarter as part of its push to expand into new areas of chipmaking. The world’s largest memory maker...
  4. T

    Intel CEO says Nvidia’s AI dominance is pure luck — Nvidia VP fires back, says Intel lacked vision and execution

    https://www.tomshardware.com/pc-components/gpus/intel-ceo-says-nvidias-ai-dominance-is-pure-luck-nvidia-vp-fires-back-says-intel-lacked-vision-and-execution If only Intel didn’t kill his Larrabee project, laments Pat Gelsinger. (Image credit: MIT video) Intel CEO Pat Gelsinger has publicly...
  5. T

    Nvidia set to discuss chip deals in Vietnam next week

    https://www.reuters.com/technology/united-states-nvidia-set-discuss-chip-deals-vietnam-next-week-2023-12-08/ HANOI, Dec 8 (Reuters) - U.S. chip giant Nvidia (NVDA.O) will discuss cooperation deals on semiconductors with Vietnamese tech companies and authorities in a meeting on Monday in Hanoi...
  6. T

    Are German state aids for Intel and TSMC in jeopardy?

    https://www.digitimes.com/news/a20231116VL210/germany-ic-manufacturing-intel-state-aid-tsmc.html Latest ruling from Germany's constitutional court might have jeopardized the country's semiconductor subsidies policy, with the aids planned for Intel and TSMC hanging by a thread. The...
  7. T

    Samsung sold more ASML shares in Q3

    SEOUL, Nov 15 (Reuters) - South Korea’s Samsung Electronics cut its stake in semiconductor manufacturing equipment maker ASML further in the third quarter, the company’s latest financial statement showed. Samsung Electronics more than halved its stake in ASML earlier this year. The South...
  8. T

    Russian minister of Industry and trade said that production of the domestic lithography machine will begin in 2024

    https://www.ixbt.com/news/2023/11/01/nachalas-gonka-v-minpromtorge-zajavili-chto-proizvodstvo-rossijskogo-litografa-nachnetsja-v-2024-godu.html 350nm machine in 2024, 130nm machine in 2026 Deputy Head of the Ministry of Industry and Trade of the Russian Federation Vasily Shpak gave a long...
  9. T

    World's most advanced 3D NAND chip has been discovered in latest YMTC product

    https://www.techinsights.com/blog/china-does-it-again-nand-memory-market-first TechInsights has discovered the world's most advanced 3D NAND memory chip in a consumer device, and in a surprise technology leap, it comes from YMTC – China’s top 3D NAND manufacturer. 3D NAND memory is an...
  10. T

    Russia plans to master the production of 28 nm chips by 2027

    https://habr.com/ru/news/766934/ Russia plans to master the production of 28 nm chips by 2027 The Ministry of Industry and Trade expects that Russia will master mass production of microprocessors according to 28 nm topological standards on 300 mm silicon wafers by 2027. This follows from the...
  11. T

    Morris Chang: Taiwan’s semiconductor advantage will not exist in 20 to 30 years

    https://tw.news.yahoo.com/%E5%8F%B0%E7%A9%8D%E9%9B%BB%E9%81%8B%E5%8B%95%E6%9C%833-%E5%BC%B5%E5%BF%A0%E8%AC%80-%E5%8F%B0%E7%81%A3%E5%8D%8A%E5%B0%8E%E9%AB%94%E5%84%AA%E5%8B%A2-2-30%E5%B9%B4%E5%BE%8C%E4%B8%8D%E6%9C%83%E6%9C%89-091100978.html TSMC founder Morris Chang believes that Taiwan’s...
  12. T

    TSMC tells vendors to delay chip equipment deliveries

    TSMC tells vendors to delay chip equipment deliveries, sources say https://www.reuters.com/technology/tsmc-tells-vendors-delay-chip-equipment-deliveries-sources-2023-09-15/ * TSMC increasingly nervous about customer demand -sources * Instruction to delay is aimed at controlling costs -sources...
  13. T

    Apple's new iPhone chip has us worried about TSMC's 3nm silicon and next-gen GPUs

    It seems that 3nm didn't bring much performance boost compare to 5nm https://www.pcgamer.com/apples-new-iphone-chip-has-us-worried-about-tsmcs-3nm-silicon-and-next-gen-gpus/
  14. T

    Is it possible to use DUV machine to make GAAFet?

    According to Samsung, the same 7nm node, GAA can significantly boost the performance compare to FinFet https://semiconductor.samsung.com/support/tools-resources/dictionary/gaa-transistors-a-next-generation-process-for-next-generation-semiconductors/ Since SMIC have no EUV,I wonder is it...
  15. T

    TSMC: There is risk in Taiwan semiconductor supply chain, we should build complete local supply chain within Taiwan

    https://technews.tw/2023/09/08/semi-whole-supply-chain/ Yu Zhenhua, deputy general manager of TSMC, said today that the world is moving towards localization. Taiwan's semiconductor supply chain is very long and there is a potential risk of chain disruption. Taiwan should establish a complete...
  16. T

    Young people in the US are attracted to IT not semiconductor is understandable

    Semiconductor’s job has much higher work load(especially work in fab) and lower pay,compare to IT industry. Yong people are naturally attracted to IT companies,fabs will have a difficult time to attract talent in the forseeable future...
  17. T

    India plans to ban critical minerals export

    https://www.business-standard.com/india-news/centre-likely-to-ban-export-of-four-critical-new-energy-mineral-123081800688_1.html In a strategic move aimed at ensuring India’s self-sufficiency in crucial minerals, which are vital for defence, aerospace, and battery storage industries, the...
  18. T

    Nvidia Makes 1000% Profit on H100 GPUs

    Nvidia Makes 1,000% Profit on H100 GPUs: Report Nvidia is raking in up to 1,000% in profit for each H100 GPU accelerator it sells, according to estimates made in a recent social media post from Barron's senior writer Tae Kim. In dollar terms, that means that Nvidia's street-price of around...
  19. T

    In unusual move, TSMC not charging Apple for defective 3nm chips

    https://macdailynews.com/2023/08/07/in-unusual-move-tsmc-not-charging-apple-for-defective-3nm-chips/ In an unusual move contrary to the normal way of doing business, chip fabricator TSMC is not charging Apple for defective 3nm chips ahead of the introduction of the iPhone 15 Pro models which...
  20. T

    Morris Chang urge TSMC not to follow the path of the British Navy

    https://udn.com/news/story/7240/7332858 Morris Chang, the founder of TSMC, attended the opening ceremony of the global R&D center yesterday, and paid special tribute to TSMC’s R&D and operation personnel, and said: “Because of your contributions to TSMC, you have made great contributions to the...
Back
Top