AI-Driven Verification: Saving Time with Verdi Regression Debug Automation

Online

Synopsys Webinar | Wednesday, July 27, 2022 | 10:00 a.m. Pacific Analyzing the thousands of failures from daily regression runs is a manual, tedious, and error-prone process. The process can significantly impact quality-of-results, time-to-results and cost-of-results. The Synopsys Verdi® Regression Debug Automation (RDA) is an artificial intelligence (AI) driven verification technology for automating the process …

Webinar: Centralized Register Design and Verification from a Golden Specification

Online

Description Learn how to bring the ease of a document editor to your system architects and designers to create an executable specification using IDesignSpec™. This specification fully describes and documents your design and automatically generates all downstream views. Time Aug 18, 2022 10:00 AM in Pacific Time (US and Canada) REGISTER HERE

Austin Verification Seminar

Hotel Granduca Austin 320 South Capital of Texas Highway, West Lake Hills, TX, United States

Overview Delivering high product quality without sacrificing today’s demanding product schedules means boosting verification productivity and cutting bug escapes. Product development teams must speed time to coverage closure, requiring new and improved technologies that make a meaningful difference in a verification cycle. Agenda 9:00 - 9:30 Arrival and check-in Introductions and networking with your peers. …

CadenceCONNECT: Verification Day

Petah Tikva, Israel Petah Tikva, Israel

Overview As verification tasks become more and more challenging and complexity increases, we find ourselves looking for more advanced techniques and solutions to improve and shorten this task. Come and join us at CadenceCONNECT: Verification Day to learn about the latest updates on the advanced verification solutions that include the Perspec System Verifier, System VIP, …

Webinar: Scalable, On-Demand Verification to Reach Coverage Closure – The New Reality in Verification on the Cloud

Online

Synopsys Webinar | Wednesday, September 14, 2022 | 10 a.m. Pacific Verification has long been the most time-consuming and often resource-intensive part of chip development. Building out the infrastructure to …

Webinar: Protocol and Memory Interface Verification in the Shrinking World of 3DIC

Online

Summary Emerging 2.5D and 3DIC packaging technologies enable more design complexity, and bring some new verification challenges. We look at how to scale your verification capability to match and how to plan ahead for verification of die-to-die interconnect protocols such as UCIe and memory verification with HBM. Packaging technologies for 2.5D and 3DIC are becoming …

Webinar: Improving Efficiency and Quality of Verification Environments with Automation

Online

Synopsys Webinar: Tuesday, October 18, 2021 | 10 a.m. Pacific REGISTER HERE Bugs can be introduced at any stage in the hardware design development process and escape into tapeout if the verification environment is unqualified. Measuring and improving verification effectiveness to prevent bugs during functional verification is the key to taping out bug-free high-quality designs. …

Webinar: Code Review for System Architects

Online

* Company email is required* Register management tools have been used mostly in a bottom-up approach. There are some documents and/or spreadsheets created by the System Architects that are delivered to the design and verification teams. They then start capturing the HW/SW interface of the peripheral IPs in their in-house or commercial register management tool …