Webinar: Drives and main circuit dimensioning in one tool

Online

Two worlds are growing together. Until now, the drives in the TIA Selection Tool could be designed according to the application, but what was missing was embedding them in a higher-level main current view. This is now possible with the new update. Plan your control cabinet electrical system from the top feed to the bottom drive. Other aspects such …

Webinar: User interfaces, library elements and their parameters

Online

Date: November 28, 2023 Time: 9:00 a.m. or 4:00 p.m. CET (Berlin) Language: English Cost: Free Duration: 2h For an overview of other webinars offered by Totally Integrated Power, please follow this link. The network design software SIMARIS design facilitates electrical network planning, including short-circuit current calculation, on the basis of real products with minimum input …

Webinar: Multi-Die System Verification with Siemens Avery UCIe VIP

Online

Summary Conventional monolithic SoCs are becoming a bottleneck for power, performance, and area (PPA), creating limitations for Data-intensive applications like high-performance computing (HPC), machine learning (ML) and artificial intelligence (AI), and for hyperscale data centers. These bottlenecks are challenging Moore’s law, hindering the industry’s ability to continue scaling designs. Chiplets are rapidly becoming the means to …

Silvaco UseRs Global Event (SURGE) 2023 – China

Online

Silvaco UseRs Global Events (SURGE) bring together users, developers, and industry experts of the EDA, IP, and TCAD communities to understand new semiconductor technologies, innovative applications, and techniques for realizing advanced designs. Presentations A variety of presentations will cover semiconductor device simulation, circuit design and verification, and IP design. Roadmaps and exciting technology updates will …

In-Vehicle Networking Seminar

Keysight Automotive Customer Center 28350 Cabot Drive, Novi, MI, United States

Agenda Timeline Session 1 9:00 a.m. - 11:00 a.m. Session 2 1:00 p.m. - 3:00 p.m. Registration Time Session 1 8:30 a.m. Session 2 12:30 p.m. What this event is about: Validate Your In-Vehicle Network Performance - Ensure flawless data flow between on-board sensors and electronic control units. This seminar will discuss the latest in …

Webinar: Comprehensive PCIe Verification Solution for bleeding edge and mission critical SoC & IP Designs

Online

Applications such as Data Centers, High-Performance computing (HPC), artificial intelligence/machine learning (AI/ML), cloud computing, military, and aerospace, automotive, etc. are all extremely Bandwidth-hungry. To cater to such high demands of high speeds and bandwidth requires a breakthrough that HPC SoCs are constantly facing. High speed interfaces like PCI Express® (PCIe®) 5.0 and 6.0 show promising …

2024 Signal & Power Integrity (SIPI) SIG Event

Hilton Santa Clara 4949 Great America Pkwy, Santa Clara, CA, United States

What is SIPI SIG? The Synopsys SIPI SIG Event is for Synopsys customers to hear the latest advances and solutions in signal and power integrity from customers and partners. This event provides the opportunity for networking and  discussion with fellow SIPI engineers to increase awareness of signal and power integrity issues within a forum for …

Webinar: The Perfect Duo: Chiplet Design Meets Modern Data & IP Management

Online

In a world where the chiplet market is projected to soar to $50.5 billion in revenue by 2024, staying ahead of the game is crucial. This monumental shift in the IC design ecosystem necessitates a forward-thinking approach to navigate the sea of data and intricate Intellectual Properties (IPs) securely. That's why Keysight has expanded its …

Webinar: New Advanced Techniques for Reset Domain Crossing (RDC) Analysis

Online

About Designers increasingly use complex reset signaling architectures to meet high-performance, low-latency, and low-power requirements. Specifically, independent reset domains are created by complex reset sequences, reset circuitry, and the intermixing of IPs with different reset schemas, power-management domains, and security domains or functionality. This increase in reset signaling complexity is creating new RDC verification challenges …

Siemens EDA User2User 2024

Santa Clara, CA Santa Clara, CA, United States

User2User, the Siemens EDA-focused event series, brings together the electronic design community to share their real-world experiences using Siemens EDA Tools Engineer a smarter future, faster at Siemens EDA User2User Conference April 3-4, 2024 Santa Clara, CA. Join your colleagues from around the industry for a day of technical sessions, networking, keynote sessions, labs and …

Masterclass: Deploying Solido Design Environment AI Workflows on AWS

Online

Utilizing AWS cloud resources to accelerate variation-aware verification   AI-powered Solido Design Environment provides SPICE-accurate variation-aware verification for 3, 4, 5, 6 and higher sigma targets, orders of magnitude faster than traditional brute-force methods. With cloud computing made more accessible than before, many teams are considering running design and verification workloads, including Solido Design Environment, on …

Webinar: Accelerate time to success using smart methods for DFT chip architecture and validation

Online

Combining market-leading design-for-test (DFT) technologies with best-in-class netlist synthesis allows you to achieve DFT success more quickly. Many customers, including those for emulation and IC test, have challenges with scaling architectures. This webinar describes how Siemens emulation and silicon test solutions can work together to provide a smart DFT plug-and-play architecture for Veloce ICs. The …

EDA Connect Seminar: Austin

Topgolf Austin 2700 Esperanza Crossing, Austin, TX, United States

As AI is redefining communication and connectivity, your ability to design, simulate, and test — using an intelligent and automated workflow — is what will set you apart. Join us for a half-day event that brings together top industry experts and innovators to explore modern RF circuit and system design, including advanced topics like phased …