SPIE 2023 – imec Preparing for High-NA EUV

SPIE 2023 – imec Preparing for High-NA EUV
by Scotten Jones on 05-17-2023 at 6:00 am

Figure 1 Pellicle Transmission

The SPIE Advanced Lithography Conference was held in February. I recently had the opportunity to interview Steven Scheer, vice president of advanced patterning process and materials at imec and review selected papers that imec presented.

I asked Steve what the overarching message was at SPIE this year, he said readiness for … Read More


Curvilinear Mask Patterning for Maximizing Lithography Capability

Curvilinear Mask Patterning for Maximizing Lithography Capability
by Fred Chen on 05-09-2023 at 10:00 am

Curvilinear 1

Masks have always been an essential part of the lithography process in the semiconductor industry. With the smallest printed features already being subwavelength for both DUV and EUV cases at the bleeding edge, mask patterns play a more crucial role than ever. Moreover, in the case of EUV lithography, throughput is a concern, … Read More


Reality Checks for High-NA EUV for 1.x nm Nodes

Reality Checks for High-NA EUV for 1.x nm Nodes
by Fred Chen on 04-26-2023 at 6:00 am

Reality Checks for High NA EUV for 1.x nm Nodes

The “1.xnm” node on most roadmaps to indicate a 16-18 nm metal line pitch [1]. The center-to-center spacing may be expected to be as low as 22-26 nm (sqrt(2) times line pitch). The EXE series of EUV (13.5 nm wavelength) lithography systems from ASML feature a 0.55 “High” NA (numerical aperture), targeted… Read More


LAM Not Yet at Bottom Memory Worsening Down 50%

LAM Not Yet at Bottom Memory Worsening Down 50%
by Robert Maire on 04-24-2023 at 10:00 am

LAM RESEARCH Vantex external chamber lrg 300x300

-Lam reported in line results on reduced expectations
-Guidance disappoints as memory decline continues
-Memory capex down 50% but still sees “further declines”
-Lam ties future to EUV maybe not good idea after ASML report

Lam comes in above grossly already reduced expectations
and misses on guidance

We always … Read More


ASML Wavering- Supports our Concern of Second Leg Down for Semis- False Bottom

ASML Wavering- Supports our Concern of Second Leg Down for Semis- False Bottom
by Robert Maire on 04-21-2023 at 8:00 am

Semiconductor False Bottom

-ASML weakness is evidence of deeper chip down cycle
-When ASML sneezes other chip equip makers catch a cold
-Will backlog last long enough? Will EUV demand hold up?
-“Unthinkable” event, litho cancelations, could shock industry

ASML has in line quarter but alarm bells ring on wavering outlook

ASML reported Euro6.7B… Read More


Can Attenuated Phase-Shift Masks Work For EUV?

Can Attenuated Phase-Shift Masks Work For EUV?
by Fred Chen on 04-18-2023 at 6:00 am

1679926948898

Normalized image log-slope (NILS) is probably the single most essential metric for describing lithographic image quality. It is defined as the slope of the log of intensity, multiplied by the linewidth [1], NILS = d(log I)/dx * w = w/I dI/dx.  Essentially, it gives the % change in width for a given % change in dose. This is particularly… Read More


SPIE Advanced Lithography Conference 2023 – AMAT Sculpta® Announcement

SPIE Advanced Lithography Conference 2023 – AMAT Sculpta® Announcement
by Scotten Jones on 03-13-2023 at 8:00 am

Applied Materials Sculpta Presentation for Media Page 06

The SPIE Advanced Lithography Conference is the semiconductor industries premier conference on lithography. The 2023 conference was held the week of February 27th and at the conference Applied Materials announced their Sculpta® pattern shaping tool. Last week I had an opportunity to interview Steven Sherman the Managing … Read More


Report from SPIE- EUV’s next 15 years- AMAT “Sculpta” braggadocio rollout

Report from SPIE- EUV’s next 15 years- AMAT “Sculpta” braggadocio rollout
by Robert Maire on 03-06-2023 at 10:00 am

EUV DUV Lithography

-We attended the SPIE lithography Conference in San Jose
-No significant news or announcements on EUV
-Focus on 500WPM target and High & Hyper NA rollout
-AMAT overblown Sculpta-Not exactly what its cracked up to be

SPIE Lithography 2023

We have been attending SPIE for many years now and are happy to see a return to pre Covid levels… Read More


Resolution vs. Die Size Tradeoff Due to EUV Pupil Rotation

Resolution vs. Die Size Tradeoff Due to EUV Pupil Rotation
by Fred Chen on 03-02-2023 at 10:00 am

Resolution vs. Die Size Tradeoff Due to EUV Pupil Rotation

The many idiosyncrasies of EUV lithography affect the resolution that can actually be realized. One which still does not get as much attention as it should is the cross-slit pupil rotation [1-3]. This is a fundamental consequence of using rotational symmetry in ring-field optical systems to control aberrations in reflective… Read More


U.S., Japan & Dutch versus China Chips & Memory looks to be in a long downturn

U.S., Japan & Dutch versus China Chips & Memory looks to be in a long downturn
by Robert Maire on 02-01-2023 at 2:00 pm

US Japan China

-US, Japan & Dutch agree to embargo some China chip equip
-Goes beyond just leading edge & will increase negative impact
-China might catch up in decades or invade Taiwan tomorrow
-Why the memory downturn could be longer than expected

Ganging up on China

It appears that the US has put together a coalition of the US, Japan and… Read More