800x100 static WP 3

ISO 26262 driving away from mobile SoCs

ISO 26262 driving away from mobile SoCs
by Don Dingee on 02-13-2014 at 10:00 pm

Connected cars may be starting to resemble overgrown phones in many ways, but there are critical differences now leading processor teams in a different direction away from the ubiquitous mobile SoC architecture – in turn causing designers to reevaluate interconnect strategies.

The modern car has evolved into a microcontroller… Read More


Quoting Automatically the eSilicon Way

Quoting Automatically the eSilicon Way
by Paul McLellan on 02-13-2014 at 2:31 pm

Every ASIC company has a major challenge: they have to work out what it is going to cost to build the customer’s product and commit to deliver it at that price. Too high and you lose the business. Too low and you will wish you’d lost the business. Historically this has been done largely manually. This is an expensive process.… Read More


Will Google Design Server SoCs?

Will Google Design Server SoCs?
by Beth Martin on 02-13-2014 at 12:22 pm

Google is search, of course, but it is also OS (Android), systems (Glass) and increasingly, maybe, hardware. Rumors are swirling that through careful acquisitions and focused internal development, Google is set to make its own server SoCs.

Google’s Larry Page has stated that they are in the hardware business. They’ve been making… Read More


I switched to Aldec Active-HDL

I switched to Aldec Active-HDL
by Luke Miller on 02-12-2014 at 3:00 pm

I have written this before, but I was a ModelSim snob. That has changed after trying Active-HDL from Aldec. I have no plans on going back to ModelSim. You ask why? Well astute reader, great question. Unfortunately these blogs are text limited and there is no way to write about all the bells and whistles of Active-HDL. So before I continue,… Read More


Intel 14nm Delayed Again?

Intel 14nm Delayed Again?
by Daniel Nenni on 02-12-2014 at 9:00 am

From the sources in which I confirmed the last Intel 14nm delay, I just confirmed another. Intel 14nm is STILL having yield problems. Remember Intel bragging about 14nm being a full node and deriding TSMC because 16nm is “just” 20nm with FinFETs added? Judging by the graph, clearly FinFETs are not the problem here. … Read More


Smart Strategies for Efficient Testing of 3D-ICs

Smart Strategies for Efficient Testing of 3D-ICs
by Pawan Fangaria on 02-12-2014 at 6:30 am

3D-IC has a stack of dies connected and packaged together, and therefore needs new testing strategies other than testing a single die. It’s given that a single defective die can render the whole of 3D-IC unusable, so each die in the stack must be completely and perfectly tested before its entry into that stack. Looking at it from a … Read More


Designing an SoC with 16nm FinFET

Designing an SoC with 16nm FinFET
by Daniel Payne on 02-11-2014 at 9:35 pm

IC designers contemplating the transition to 16nm FinFET technology for their next SoC need to be informed about design flow and IP changes, so TSMC teamed up with Cadence Design Systems today to present a webinar on that topic. I attended the webinar and will summarize my findings.

Shown below is a 3D layout concept of an ideal FinFET… Read More


Migrating to Andes from 8051

Migrating to Andes from 8051
by Paul McLellan on 02-11-2014 at 5:21 pm

The 8051 microcontroller has been around for years…decades in fact. It was originally developed in 1980 by Intel. Back then it required 12 clock cycles per instruction but modern cores use just one. While it is still widely used, mostly as an IP core for SoCs, it is running out of steam despite running over 50 times faster than… Read More


A Brief History of Atmel

A Brief History of Atmel
by Paul McLellan on 02-11-2014 at 4:12 pm

Atmel was founded in 1984. The name stands for “advanced technology for memory and logic” although initially the focus was on memory. George Perlegos the founder had worked in the memory group of Intel back when Intel was a memory company and not a microprocessor company although that didn’t stop Intel suing… Read More


ASTC and the new midrange ARM Mali-T720 GPU

ASTC and the new midrange ARM Mali-T720 GPU
by Don Dingee on 02-11-2014 at 3:00 pm

When we last visited texture compression technology for OpenGL ES on mobile GPUs, we mentioned Squish image quality results in passing, but weren’t able to explore a key technology at the top of the results. With today’s introduction of the ARM Mali-T720 GPU IP, let’s look at the texture compression technology inside: Adaptive… Read More