800x100 static WP 3
WP_Term Object
(
    [term_id] => 16126
    [name] => Lithography
    [slug] => lithography
    [term_group] => 0
    [term_taxonomy_id] => 16126
    [taxonomy] => category
    [description] => 
    [parent] => 0
    [count] => 154
    [filter] => raw
    [cat_ID] => 16126
    [category_count] => 154
    [category_description] => 
    [cat_name] => Lithography
    [category_nicename] => lithography
    [category_parent] => 0
)

Secondary Electron Blur Randomness as the Origin of EUV Stochastic Defects

Secondary Electron Blur Randomness as the Origin of EUV Stochastic Defects
by Fred Chen on 01-09-2023 at 10:00 am

Stochastic defects in EUV lithography have been studied over the last few years. For years, the Poisson noise from the low photon density of EUV had been suspected [1,2]. EUV distinguishes itself from DUV lithography with secondary electrons functioning as intermediary agents in generating reactions in the resist. Therefore, noise or randomness associated with the secondary electrons should also be expected [3,4]. There should not be only randomness in the number of secondary electrons generated, but also in the distances they travel. The latter is effectively a randomness in the blur.

Poisson noise combined with a randomized local blur was studied to see if stochastic defects would arise naturally. Poisson statistics was applied twice on a 1 nm pixel grid, once for the absorbed photon dose of 30 mJ/cm2, and a second time for the secondary electron quantum yield (QY) of 8 per photon. The 50 nm pitch image (from a binary 1:1 line/space grating as the object) on a 0.33 NA EUV system is then convolved with a local blur Gaussian function, where the sigma is a random number in the range [0, sigma_max], where sigma_max, essentially the upper limit of local blur, is itself randomly selected from an exponential distribution. To prevent excessive roughness, the random local blur values are subject to a 3 nm x 3 nm rolling average, and extrapolated at the grid edges.

The stochastic defect occurrence is found to hinge on the upper limit of the local secondary electron blur. For a ‘typical’ value of 3.1 nm (46th percentile on the exponential distribution), the image was practically unaltered, whereas for a ‘rare’ value of 30 nm (99.75th percentile on the exponential distribution), the image of the feature was essentially disrupted, indicating a microbridge-type (unexposed) defect.

Secondary Electron Blur Randomness as the Origin of EUV Stochastic Defects

Poisson statistics may be an aggravating factor but are not the true triggers for stochastic defects. The 5 nm scale exponential distribution containing upper limits of blur as high as 30 nm is the key aspect. This would be a natural consequence of the cascade of secondary electrons scattering in the resist, due to the range of energies starting from ~80 eV down to ~0 eV, as well as mean free paths rising sharply at low energies [5,6]. This is different from, e.g., acid diffusion in chemically amplified resists, which is suppressed as acids move further out due to the reduced concentration gradient. A recent disclosure of the EUV-induced hydrogen plasma [7] reveals mean free paths on the order of cm, which, in principle, could significantly worsen the stochastic defects issue. However, how much this new factor is suppressed is still not clear.

References

[1] R. L. Brainard et al., SPIE 5374, 74 (2004).

[2] M. Neisser et al., J. Photopolym. Sci and Tech. 26, 617 (2013). https://www.jstage.jst.go.jp/article/photopolymer/26/5/26_617/_pdf

[3] H. Fukuda, J. Micro/Nanolith. MEMS MOEMS 18, 013503 (2019).

[4] F. Chen, https://www.linkedin.com/pulse/adding-random-secondary-electron-generation-photon-shot-chen

[5] O. Yu et al., J. Elec. Spec. and Rel. Phen., 241, 146824 (2020). https://www.sciencedirect.com/science/article/pii/S0368204818302007

[6] Seah, M.P. and W.A. Dench, Surface and Interface Analysis 1, 2 (1979).

[7] M. van de Kerkhof et al., https://arxiv.org/ftp/arxiv/papers/2105/2105.10029.pdf

This article first appeared in LinkedIn Pulse: Secondary Electrron Blur Randomness as the Origin of EUV Stochastic Defects

Also Read:

Predicting EUV Stochastic Defect Density

Electron Blur Impact in EUV Resist Films from Interface Reflection

Where Are EUV Doses Headed?

Application-Specific Lithography: 5nm Node Gate Patterning

Share this post via:

Comments

One Reply to “Secondary Electron Blur Randomness as the Origin of EUV Stochastic Defects”

You must register or log in to view/post comments.