Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/chinese-firm-expected-to-deliver-28nm-chip-machine-at-year-end-media-report.18498/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Chinese firm expected to deliver 28nm chip machine at year-end: media report

Daniel Nenni

Admin
Staff member
chip Photo:VCG


China, reportedly, will launch its first 28-nanometer homegrown lithography machine at the year-end, representing a great leapfrog for the nation's chip industry after years of US-led suppression and containment.

According to the Securities Daily, Shanghai Micro Electronics Equipment Group (SMEE) has been committed to developing a 28-nm immersion lithography machine, and it's expected the first domestically produced SSA/800-10W lithography machine will be delivered to the market by the end of 2023.

It will be a major breakthrough for the industry and homegrown chips are to be produced that meet the requirements of commonly used devices, Zhang Hong, a Beijing-based semiconductor industry analyst, told the Global Times on Tuesday.

SMEE didn't respond to the Global Times' interview request as of press time on Tuesday.

Founded in 2002, SMEE is one of China's leading lithography machine makers and accounts for about 80 percent of the domestic market, industry sources said. Reuters described the company as China's only potential competitor to the Netherlands' world-leading lithography machine maker ASML.

SMEE's website noted that it has developed machines capable of manufacturing chips at the 90-nm node standard - a technology that is suitable for producing low-end chips.

He Rongming, vice chairman of SMEE, said that in 2002 when Chinese experts went abroad for inspections, some foreign engineers said: "Even if we gave you all the blueprints, you might not be able to produce a lithography machine," according to the Securities Daily report.

After returning to China, He led the team in five years of research and development, and the team achieved a major breakthrough in the crucial exposure process.

The reported achievement comes at a critical time when the US has persuaded its allies, including Japan and the Netherlands, to join in its effort to contain China's tech sector growth, though it means considerable economic losses for companies in the two countries.

Japan announced in late March a draft revision to a ministry ordinance on its Foreign Exchange and Foreign Trade Act, adding 23 chip-manufacturing items that would require government approval to be exported. The rule took effect on July 23.

The Dutch government announced a ministerial order in early July to restrict exports of certain advanced semiconductor equipment. The export controls will come into effect on September 1.

To cope with the US-led suppression, Chinese companies are forced to make their own tools in a bid to avoid being squeezed by the US, an industry player told the Global Times on condition of anonymity on Tuesday.

Moreover, as most companies are increasingly inclined to adopt domestic products, a virtuous cycle in the industry has been formed, according to the person.

For instance, an imported chip costs 20 yuan ($2.8), while the domestic replacement costs up to 200 yuan. Even the higher price doesn't deter Chinese companies which prefer using homegrown chips to mitigate market risks. As the demand for homegrown chips rises significantly, their prices will fall and their performances will improve over time, fostering a positive feedback loop.

The source said that key companies in the industry will lead the domestic substitution efforts, and offer technology support to their customers.

"The progress of domestic substitution, which has been going on for about four years, went much faster than we anticipated," the person said.

On November 15, 2022, the National Intellectual Property Administration disclosed Huawei's ground-breaking new patent titled "Reflective Mirror, Lithography Apparatus, and Control Method", representing a significant advancement in the core technology of extreme ultraviolet (EUV) lithography machines.

The second phase of the National Integrated Circuit Industry Investment Fund Co, also known as the "Big Fund II," has invested heavily in China's semiconductor manufacturing, equipment and related materials and software development.

"But technology evolution needs years of consistent research and development, and we need to be patient and firm," Zhang Hong said.

Zhang also pointed out that chip production is not something that one country can do all on its own, and China should seek cooperation with those who advocate for globalization.

The most advanced EUV lithography machine has more than 450,000 components, exceeding the number of parts in an F1 racing car by more than 20 times, according to the Securities Daily.

Even ASML which holds a dominant position in the world's EUV lithography machine manufacturing, makes only 15 percent of the total components. The rest must be sourced from the global supply chain.

 
It’s an interesting race. Seems like China is also buying plenty from ASML.

ASML ups full-year sales forecast as China demand stays strong

 

 
Russia will be China's biggest semiconductor customer, North Korea, Iran, etc...

"Chinese companies are rapidly constructing new facilities, with projections indicating the establishment of 26 new fabs by 2026. Despite the political friction between the U.S. and China, Chinese firms, such as SMIC, have maintained their supply chains, with a significant portion of their sales coming from U.S. clients, according to Bloomberg."
 
Russia will be China's biggest semiconductor customer, North Korea, Iran, etc...

No, countries with no strong manufacturing sector was never big customer of chips. The vast majority of Chinese semiconductor are being exported in form of final products,rather than stand alone chips. If you have been buying Chinese consumer electronics,you'd find the newer it is the higher proportion of Chinese domestic chips on board.
 
Last edited:
SMEE made similar big statements before for 90nm.
But, I haven't heard any fab using their tool for production. Not even one.
Not sure if it's the same for 180nm (0.18um), if that matters.
 
Last edited:
I’ve been hearing announcements each year for the last three conservative years that Shanghai Micro Electronics Equipment (SMEE) would be releasing their new 28nm DUV by years end. It’s never come to fruition.

https://themeghalayan.com/us-china-tech-war-accentuates/

 
The Global Times (simplified Chinese: 环球时报; traditional Chinese: 環球時報; pinyin: Huánqiú Shíbào) is a daily tabloid newspaper under the auspices of the Chinese Communist Party's flagship newspaper, the People's Daily, commenting on international issues from a Chinese ultra-nationalistic perspective.[1][2][3][4][5] The publication is sometimes called "China's Fox News" for its propagandistic slant and the monetization of nationalism.[6][7][8][9]

Established as a publication in 1993, its English version was launched in 2009. The editor-in-chief of Global Times was Hu Xijin until December 2021, who has been described as an early adopter of the "wolf warrior" communication strategy of loudly denouncing perceived criticism of the Chinese government and its policies.[10] The newspaper has been the source of various incidents, including fabrications, conspiracy theories, and disinformation.[note 1] It is part of a broader set of Chinese state media outlets that constitute the Chinese government's propaganda apparatus.[18][19]
 
Back
Top