Webinar: Establish a truly end-to-end digital thread in Automotive through to E/E & software design

Online

Summary The most advanced and successful Automotive manufacturers rely on model-based systems engineering in their journeys towards software defined vehicles. Model-based engineering (MBE) facilitates the digital thread by linking designs to stakeholder and system requirements, enabling seamless trace chains. MBE is the foundation for a common systems model, connecting stakeholders and domains. Join us for …

Webinar: Develop High-Efficiency Electric Vehicle Powertrains with Ansys ConceptEV

Online

Ansys ConceptEV is a new innovative cloud-based design and simulation platform for the design of EV powertrains. Engineers can collaborate on a shared system simulation connected to requirements from the start of the design process. Don't miss this upcoming webinar. TIME: JUNE 11, 2024 11 AM EDT / 5 PM CEST Venue: Virtual Overview Ansys …

ANDES RISC-V CON Silicon Valley

DoubleTree by Hilton Hotel San Jose 2050 Gateway Pl, San Jose, CA, United States

ANDES RISC-V CON In recent times, there has been swift progress in emerging applications such as automotive electronics and artificial intelligence.   Hence, the demand for application processors and enhanced security solutions is experiencing rapid growth. Andes Technology will hold the annual conference in Hsinchu, Shanghai, Shenzhen, Tokyo and San Jose with the theme of “ANDES …

Webinar: ISO26262 Functional Safety Software Workshop for the Automotive Value Chain

We are holding a FREE virtual workshop course for automotive OEM, suppliers and semiconductor industry professionals who want to better understand the software solutions available to help them comply with ISO26262 when going from chip to vehicle system. TIME: JUNE 11, 2024 10 AM EDT - 4 PM EDT Venue: Virtual Overview This course will …

Webinar: Digital Twin for Thermal Battery Management – How to Extend Range of Electrified Vehicles

Online

Designing a small but high-capacity EV battery pack presents a complex set of challenges. The key to solving these challenges is efficient battery temperature and load management that addresses the entire battery system. In this webinar, you will learn how digital twins: Combine multiple physical domains to show the complex system response of a battery …

CadenceCONNECT: Tech Days Europe 2024 – Eindhoven

WestCord Hotel Eindhoven Lichttoren 22, Eindhoven, Netherlands

Date: Wednesday, June 12, 2024 Venue: WestCord Hotel Eindhoven (previously known as Inntel Hotels Art Eindhoven) Location: Lichttoren 22, 5611BJ Eindhoven, Netherlands Parking: There is on-site parking at the hotel for a fee of €17,00 for 24 hours or €8,50 per halve a day. You will receive further information in your registration confirmation email. Analog, RF, and Mixed-Signal IC Design …

India Financial Services Summit

Jio World Convention Centre Jio World Centre, G Block, Bandra Kurla Complex, Bandra East, Mumbai, Maharashtra, India

Embracing Emerging Technologies for Financial Services Growth About 2024-25 will be yet another stellar year for India and Indian financial institutions. There may be some ‘over-crowding’ of state-owned banks, private sector banks, NBFI’s and new Digital / Payments only banks – but this is at least five years away. Right now is grow, grow, grow …

Hauts-De-France Data Forum

Université des Compagnons Hauts-de-France 23 Avenue Paul Michonneau, Arras, France

A PROGRAM AROUND AI In the year 2024, the Artois Tactic Club is mobilizing to develop a captivating program centered on artificial intelligence . At the heart of this event, an exciting fusion of cutting-edge technologies, in-depth expertise and current topics is taking shape to offer you an exceptional experience on June 13, 2024 during the Hauts-De-France Data Forum WHY REGISTER? Immerse …

Webinar: Unlock Sustainability: Harness Simulations in Semiconductor and High-Tech Manufacturing

Online

Ansys Semiconductor Manufacturing Webinar Series: Part 3 of 3. Join us on Thursday, June 13th for an in-depth discussion on addressing sustainability challenges in complex manufacturing processes. Learn more about the webinar series! TIME: THURSDAY, JUNE 13, 2024 11 AM EASTERN TIME Venue: Virtual Overview Semiconductor manufacturing has significant environmental impacts due to the intensive consumption …

Webinar: Digital Testing and Validation of Additively Manufactured Vehicle Parts

Online

Additive Manufacturing (AM) adoption within the automotive industry has rapidly increased in the past few decades. Growing demand for sustainable fuels and electric mobility have been some of the drivers for rapid AM adoption. These technologies can improve efficiency and significantly reduce mass. Automotive companies have found multiple applications, including prototypes, tooling, and parts with …

Webinar: Accelerate Digital Engineering and MBSE – Satellite Imaging Demo

Online

Join us for an insightful webinar where we dive deeper into how Ansys STK, ModelCenter and the Systems Architect Model (SAM) revolutionize digital engineering and MBSE practices. Discover how these powerful tools can significantly reduce design time and optimize tradeoffs. TIME: JUNE 14, 2024 11 A.M. EDT Venue: Virtual Overview Join us for an insightful …

Ansys OptiCon 24

Outer Ring Road Bangalore Outer Ring Road, Bangalore, India

India's premier Optics User Conference, Ansys OptiCon 24 is back. This Optics Design & Simulation Conference gathers the brightest minds in various industries in engineering and simulation. This year, we're taking it to the next level. OptiCon 24 will feature dedicated tracks for automotive, A&D, and photonics, ensuring a tailored experience for everyone. Engage in insightful …

Webinar: Multiphysics Simulation of Challenges in 3D IC and Chiplet Designs

Online

Multiple Sessions - All English Language: 14:00 JST (APAC) 10:00 EDT, 16:00 CET (AMER and EUR) Stacking multiple dies with 3D ICs offer enhanced functionality, reduced form factor, and improved interconnect density. However, these advancements come with several challenges, including thermal management issues. Multiphysics suites from Altair assist designers in optimizing thermal management strategies and …

Webinar: Introductory Teaching of Antenna Design with Ansys HFSS

Online

Helping students understand the underlying physics that connects antenna performance, array implementation, and prediction of its behavior is featured in this upcoming webinar on Ansys Electronics Desktop. TIME: JUNE 18, 2024 11 AM EDT / 5 PM CEST / 8:30 PM IST Venue: Virtual Overview Electromagnetic phenomena in antennas are often at the core of …

Webinar: Solve EM Fields and Forces in PCBs for Consumer Electronics

Online

This webinar will demonstrate how Ansys tools can improve PCB designs for higher performance and reliability. Ansys Maxwell simulates low-frequency (LF) EM fields to identify potential EMI/EMC issues for shielding and grounding purposes. Engineers can also predict electric currents distributed throughout the PCB power traces and study the EM forces generated by the magnetic field …

Webinar: Redefining Security – The challenges of implementing Post-Quantum Cryptography (PQC)

Online

While PQC implementation is not yet mandatory, organizations must plan the integration of cryptographic algorithms to anticipate the considerable time investment required for design, development, and certification. In this webinar we will delve into the imminent transition to Post-Quantum Cryptography, exploring the industrial challenges and the imperative need for proactive adoption. Attendees will gain insights …

Turbo Expo 2024

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

Turbomachinery Technical Conference & Exposition Unlocking a Net-Zero Future in Propulsion and Power Make your plans today to join 2,500-plus turbomachinery and propulsion engineering leaders from industry, academia, and government …

DAC luncheon: Improve the fidelity of ESD margins and leakage flows

Moscone Center West San Francisco, CA, United States

Conservative design rules and constraints are often used in reliability verification flows. By combining the leading solutions provided by Siemens Calibre PERC and SPICE simulation technologies, SPICE-accurate full-chip simulation becomes possible in a compelling flow for design teams looking to better understand their ESD design margins. For analog designers, we will explore exciting challenges and …

Webinar: Optimizing Generative AI with Vector Databases: What, Why, and How

Online

Vector databases have emerged as the preferred option for customizing generative AI and making it more trustworthy. Both dedicated vector DBs and vector-enabled DB suites deliver companies’ domain-specific data–most often text or imagery–to large language models. They help fine-tune models and enrich user prompts via retrieval-augmented generation (RAG). These use cases enable companies to customize …

NAFEMS Americas Conference

Louisville, Kentucky, USA Louisville, KY, United States

NAFEMS Americas will be hosting its biennial regional conference on July 9-11, 2024, in Louisville, Kentucky, USA. The 2024 NAFEMS Americas Conference will bring together the leading visionaries, developers, and practitioners of CAE-related technologies in an open forum, unlike any other, to share experiences, discuss relevant trends, discover common themes, and explore future issues, including: What is the …

Semicon West 2024

Moscone Center 747 Howard Street, San Francisco, CA, United States

SEMICON West brings the incredibly diverse global electronics supply chain together to address the semiconductor ecosystem’s greatest opportunities and challenges through programs highlighting  Market Intelligence | Standards | Sustainability | Workforce Development | SEMI University | Supply Chain Management | and …

Altair® HPCWorks® Customer Days – Houston, TX

Norris Conference Centers - Houston CityCentre 816 Town & Country Blvd. - Suite 210, Houston, TX, United States

About HPC enables the computation that makes capturing, producing, and optimizing energy possible. The global demand for energy from many varied sources is growing. Join us to learn how our solutions support robust computing performance and the …

Webinar: Speed Up Your Electronic Component Design with HPC

Online

Electronic components design and their integration on PCBs involve complex simulations to accurately predict EM fields and forces. These simulations can be computationally intensive and time-consuming. High-performance computing (HPC) capability built into Ansys Maxwell core technology significantly accelerates the electronic component design process, enabling quick iteration, optimization, and validation. Maxwell's ECAD capability allows modeling and …

DVClub India Meeting: Ensuring my Design Verification is ISO26262 Compliant

Online

Ensuring my Design Verification is ISO26262 Compliant With the widespread of the modern automobiles, run and regulated by automotive ECUs, the need for advanced safety features has also become inevitable. And this is why today modern vehicles are required to adhere to the safety standards listed within the Automotive Safety Integrity Level (ASIL). In this …

Leti Semicon Workshop

San Francisco Marriott Marquis 780 Mission Street, San Francisco, CA, United States

Join CEA-Leti CEO Sébastien Dauvé and a panel of tech experts and partners at Leti Semicon Workshop on July 9, 2024 – San Francisco CEA-Leti is setting up a novel …

Webinar: Digital Twin for Multi-physics Systems – How to Verify and Optimize Performance at the Overall Product Level

Online

Ensuring reliable performance of products in the field requires verification and validation at the system level. This means considering the complex interaction of different physics between systems and sub-systems. In …

AESIN Conference 2024

British Motor Museum Banbury Road Gaydon, Lighthorne Heath, Warwick, United Kingdom

About The Conference We are delighted to announce the AESIN Conference 2024 to be held on 11th July. This year’s AESIN theme is Collaboration in a technology rich era. We …

Webinar: Chip-Level Electromagnetic Crosstalk Signoff Using EMX Solver

Online

Description Today’s wireless and high-speed chip designs integrate an incredible amount of functionality on very small silicon real estate. Such integration requires optimization from the early stages of the design to post-layout vs. schematic (LVS) signoff. Increasingly complex designs and advanced process nodes test the limits of electromagnetic (EM) solvers in terms of modeling capacity …

Webinar: Root of Trust

Online

Summary Join us for a weekly webinar series focusing on cutting-edge design and implementation techniques for hardware security. This series is perfect for engineers and designers looking to strengthen their knowledge, stay current with the latest hardware security advancements and learn more about security IP solutions. Each week, we will explore a critical topic in …

Synopsys Vietnam Seminar 2024

Sheraton Saigon Hotel 88 Dong Khoi Street, District 1, Ho Chi Minh City, Viet Nam

Today, we find ourselves at the nexus of the fourth industrial revolution — an era dominated by Smart Everything. The internet, artificial intelligence, and the use of software are helping to create things that couldn’t even be imagined just a decade or two ago. The opportunities seem limitless, and the potential for more world-changing technologies …

Webinar: Maximize Productivity with Deep Insights into PPA Trajectories

Online

The digital chip design flow carries with it an enormous wealth of untapped information regarding the health and status of your SoC design. The ability to efficiently mine this data provides chip designers with comprehensive visibility and actionable insights to uncover PPA opportunities. This webinar will introduce you to Synopsys Design.da, the industry’s first comprehensive data-visibility …

Webinar: Efficient Way to UVM Constraint Randomization Debug

Online

This webinar equips you with effective strategies to tackle randomization-related errors within your UVM verification environment. We'll explore the power of Cadence's Verisium Debug, a tool designed to simplify the debugging process. What You Will Learn Practical techniques for isolating and resolving randomization-related errors Optimize your UVM verification environment for robust functionality Gain valuable insights …

Webinar: Enhancing Manufacturing Test Flows with Synopsys VC Z01X

Online

Leveraging functional patterns is crucial for achieving high defect coverage and reducing defective parts per million (DPPM) levels. Synopsys VC Z01X fault simulator offers enhanced fault coverage in manufacturing test flows, complementing ATPG tools like Synopsys TestMAX ATPG. In this presentation we will delve into unique coverage scenarios, such as resets and clocks blocked during ATPG mode. We'll …

Webinar: MACsec & IPsec

Online

Summary Join us for a weekly webinar series focusing on cutting-edge design and implementation techniques for hardware security. This series is perfect for engineers and designers looking to strengthen their knowledge, stay current with the latest hardware security advancements and learn more about security IP solutions. Each week, we will explore a critical topic in …

Ansys 2024 R2: Thermal Integrity in the Ansys Electronics Desktop Update

Online

Join us for an exclusive webinar during Ansys 2024 R2 updates. We'll showcase significant enhancements to our Thermal Integrity tools. Discover the latest in Icepak, Mechanical Thermal, and Mechanical Structural, with expanded capabilities. TIME: JULY 18, 2024 11 AM EDT Venue: Virtual Overview This Ansys 2024 R2 webinar reviews the updates, enhancements, and new features …