WP_Term Object
(
    [term_id] => 24
    [name] => TSMC
    [slug] => tsmc
    [term_group] => 0
    [term_taxonomy_id] => 24
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 560
    [filter] => raw
    [cat_ID] => 24
    [category_count] => 560
    [category_description] => 
    [cat_name] => TSMC
    [category_nicename] => tsmc
    [category_parent] => 158
    [is_post] => 
)
            
TSMC Banner 2023
WP_Term Object
(
    [term_id] => 24
    [name] => TSMC
    [slug] => tsmc
    [term_group] => 0
    [term_taxonomy_id] => 24
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 560
    [filter] => raw
    [cat_ID] => 24
    [category_count] => 560
    [category_description] => 
    [cat_name] => TSMC
    [category_nicename] => tsmc
    [category_parent] => 158
    [is_post] => 
)

TSMC versus Intel at 20nm!

TSMC versus Intel at 20nm!
by Daniel Nenni on 04-24-2012 at 7:00 pm

The biggest news out of the TSMC Symposium last week was the 20nm update. Lots of debate and speculation, just why is TSMC releasing one version of 20nm (20nm SoC) versus multiple versions like in 40nm (LP, G, LPG) and 28nm (HP, HPM, HPL, LP)? Here are my thoughts, I would also be interested in your feedback in the comment section. This… Read More


Qualcomm Meets Jerry Sanders at 28nm

Qualcomm Meets Jerry Sanders at 28nm
by Ed McKernan on 04-19-2012 at 8:26 pm

First the good news: 4G LTE design in activity is off the charts as OEMs building smartphones, tablets and Ultrabooks are buying into the capability for product rollouts that will occur starting in September. Now the bad news: there’s not enough to go around until probably well into 2013. For a Company sitting on over $26B in cash,… Read More


Introduction to FinFET technology Part I

Introduction to FinFET technology Part I
by Tom Dillinger on 04-18-2012 at 6:00 pm

This is the first of a multi-part series, to introduce FinFET technology to SemiWiki readers. These articles will highlight the technology’s key characteristics, and describe some of the advantages, disadvantages, and challenges associated with this transition. Topics in this series will include FinFET fabrication,Read More


Atrenta’s Spring Cleaning Deal

Atrenta’s Spring Cleaning Deal
by Paul McLellan on 04-16-2012 at 9:00 am

Atrenta is having a special offer to let you “spring clean” your IP for free. They are providing two weeks of free access to the Atrenta IP kit starting from today, April 16th, until the end of May. During this period, qualified design groups in the US will be able to use the kit for two consecutive weeks to “spring… Read More


Making your ARMs POP

Making your ARMs POP
by Paul McLellan on 04-16-2012 at 6:30 am

Just in time for TSMC’s technology symposium (tomorrow) ARM have announced a whole portfolio of new Processor Optimization Packs (POPs) for TSMC 40nm and 28nm. For most people, me included, my first question was ‘What is a POP?’

A POP is three things:

  • physical IP
  • certified benchmarking
  • implementation knowledge
Read More

The Truth of TSMC 28nm Yield!

The Truth of TSMC 28nm Yield!
by Daniel Nenni on 04-15-2012 at 7:00 pm

As I write this I sit heavyhearted in the EVA executive lounge returning from my 69[SUP]th[/SUP] trip to Taiwan. I go every month or so, you do the math. This trip was very disappointing as I can now confirm that just about everything you have read about TSMC 28nm yield is absolutely MANURE!… Read More


Intel’s Fait Accompli Foundry Strategy

Intel’s Fait Accompli Foundry Strategy
by Ed McKernan on 04-05-2012 at 1:09 am

As many analysts have noted, it is difficult to imagine what Intel’s foundry business will look like one, two or even three years down the road because this is all new and what leading fabless player would place their well being in the hands of one who is totally new at the game. I would like to suggest there is a strategy in place that will… Read More


NVIDIA Claims TSMC 20nm will not Scale?

NVIDIA Claims TSMC 20nm will not Scale?
by Daniel Nenni on 03-25-2012 at 6:00 pm

Interesting article from Joel Hruska on ExtremeTech: Nvidia deeply unhappy with TSMC, claims 22nm essentially worthless . The title is a bit dramatic (poetic license) but the charts are accurate to the degree that 20nm costs will be significantly higher from the start and will continue to be higher throughout production and maturity.… Read More


TSMC absolutely did NOT halt 28nm production!

TSMC absolutely did NOT halt 28nm production!
by Daniel Nenni on 03-07-2012 at 6:18 pm

Once again industry professionals get duped! Tabloid journalism runs amok inside the semiconductor ecosystem! As if our industry does not face enough challenges, why are we wasting time on drivel like this? This is a TSMC 28nm wafer by the way and thousands of them are being shipped around the world, believe it.
Read More