CadenceTECHTALK: What’s New – Novel Advancements in the Innovus Implementation System Part 2

CadenceTECHTALK: What’s New – Novel Advancements in the Innovus Implementation System Part 2
by Admin on 05-16-2023 at 3:18 pm

The 22.1 release of the Cadence® Innovus Implementation System has many exciting new features and flows to improve power, performance, and area (PPA), and turnaround time (TAT) during design implementation. Join us in this CadenceTECHTALK to learn about the new capabilities of our digital implementation flow:

Part 1 of theRead More


CadenceTECHTALK: What’s New – Novel Advancements in the Innovus Implementation System Part 1

CadenceTECHTALK: What’s New – Novel Advancements in the Innovus Implementation System Part 1
by Admin on 05-16-2023 at 3:01 pm

The 22.1 release of the Cadence® Innovus Implementation System has many exciting new features and flows to improve power, performance, and area (PPA), and turnaround time (TAT) during design implementation. Join us in this CadenceTECHTALK to learn about the new capabilities of our digital implementation flow:

Part 1 of theRead More


What’s New with Cadence Virtuoso?

What’s New with Cadence Virtuoso?
by Daniel Payne on 04-19-2023 at 10:00 am

Virtuoso Place and Route min

It was back in 1991 that Cadence first announced the Virtuoso product name, and here we are 32 years later and the product is alive and doing quite well. Steven Lewis from Cadence gave me an update on something new that they call Virtuoso Studio, and it’s all about custom IC design for the real world. In those 32 years we’ve… Read More


CadenceTECHTALK: Static Timing Analysis and Some Important Basics

CadenceTECHTALK: Static Timing Analysis and Some Important Basics
by Admin on 01-16-2023 at 2:11 pm

Date: Thursday, January 26, 2023

Time: 09:00 GMT / 10:00 CET / 11:00 EET & Israel / 14:30 IST

Static Timing Analysis (STA) aims to validate the timing performance of a synchronous design. While it is a well-known concept in modern digital implementation flows, for engineers who are not familiar with STA or others who would like

Read More

EDA Flows for 3D Die Integration

EDA Flows for 3D Die Integration
by Tom Dillinger on 07-20-2021 at 6:00 am

future integration

Background

The emergence of 2.5D heterogeneous die integration using a silicon (or organic) interposer has enabled unique system architectures.  The term “More than Moore” has been used to describe the circuit density and cost advantages of leveraging multiple die in the package, the die potentially in different process technologies. … Read More


Cadence Defines a New Signoff Paradigm with Tempus PI

Cadence Defines a New Signoff Paradigm with Tempus PI
by Mike Gianfagna on 07-20-2020 at 10:00 am

Screen Shot 2020 06 24 at 11.24.34 PM

Semiconductor technology advances have a way of rewriting the rule book. As process geometries shrink, subtle effects graduate to mainstream problems. Performance curves can become inverted. And no matter what else occurs, low power demands are constantly reducing voltage and design margins along with it. Sometimes these… Read More


Webinar: Extending Innovation with Innovus 20.1 Release (Hebrew)

Webinar: Extending Innovation with Innovus 20.1 Release (Hebrew)
by Admin on 05-26-2020 at 11:42 pm

Overview

The Cadence® Innovus™ Implementation System continues to extend technology innovation to ensure designers can complete ever larger and more complex designs. During this webinar, Cadence will share the latest Innovus Implementation 20.1 release technology highlights.

Topics such as physically aware logic restructuring,

Read More

Webinar: Investigating and Improving Clock Delays

Webinar: Investigating and Improving Clock Delays
by Admin on 05-26-2020 at 11:37 pm

Overview

As typical system-on-chip designs grow larger and move to the latest FinFET process nodes, clocking constraints become ever more complex. The Cadence® Innovus™ Implementation System’s CCOpt™ useful skew optimization engine is a powerful tool to close the timing on the latest high-speed designs. Understanding and

Read More

Webinar: Extending Innovation with Innovus 20.1 Release

Webinar: Extending Innovation with Innovus 20.1 Release
by Admin on 05-26-2020 at 11:31 pm

Overview

The Cadence® Innovus™ Implementation System continues to extend technology innovation to ensure designers can complete ever larger and more complex designs. During this webinar, Cadence will share the latest Innovus Implementation 20.1 release technology highlights.

Topics such as physically aware logic restructuring,

Read More

The Complexity of Block-Level Placement @ 56thDAC

The Complexity of Block-Level Placement @ 56thDAC
by Tom Dillinger on 06-11-2019 at 10:00 am

The recent Design Automation Conference in Las Vegas was an indication of how the electronics industry is evolving.  In its formative years, DAC was focused on the fundamental algorithms emerging from academic research and industrial R&D, that enabled the continuation of the Moore’s Law complexity curve.  (Indeed, the… Read More