WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)
            
image001 (16)
WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)

SPIE 2020 – Applied Materials Material-Enabled Patterning

SPIE 2020 – Applied Materials Material-Enabled Patterning
by Scotten Jones on 03-13-2020 at 10:00 am

I wasn’t able to attend the SPIE Advanced Lithography Conference this year for personal reasons, but Applied Materials was kind enough to set up a phone briefing for me with Regina Freed to discuss their Materials-Enabled Patterning announcement.

At IEDM Applied Materials (AMAT) tried to put together a panel across the entire semiconductor ecosystem on how to shrink the technology. Authors note, you can read my write up on the panel here.

There is a need to look at all of the factors when shrinking with the latest focus being power, performance, area and cost (PPAC). On the panel TSMC also mentioned the need to consider time. Part of AMAT is announcing is simplification of processes that helps with cost and time by eliminating steps.

The three pieces to the announcement are:

  1. Square spacers
  2. Lateral etching
  3. Selective processing

Square spacers

SAxP is a widely used patterning technology with Self Aligned Double Patterning (SADP) and Self Aligned Quadruple Pattering (SAQP) being the most common. The basic premise is to create a mandrel pattern and then deposit sidewall spacers on the edges of the mandrel to double the pitch. Typically, these sidewall spacers have rounded top edges. When running SAQP, one way to compensate for the rounded top edges is to deposit two mandrels and use the spacers to define the second mandrel but this adds process complexity.

In the past people have tried to control the spacer top edge rounding by optimizing the etch and have added a second mandrel but this increases cost and complexity. AMAT has changed the spacer material to get square spacers allowing them to reduce the number of process steps. Figure 1 illustrates the conventional double mandrel SAQP process (top) and square spacer SAQP process (bottom).

2020 SPIE Media Briefing Full Slides for Scott Jones Page 16

Figure 1. Square Spacer SAQP Versus Double Mandrel SAQP.

 Providing square spacers can reduce major process steps from 15 to 11 because the square spacer is high enough quality to be the next mandrel. You do lose some ability to have multiple critical dimensions (CDs) with this technique.

Lateral Etch

SAxP processes create lines and spaces with double the pitch for SADP and quadruple the pitch for SAQP. The resulting lines need to be cut in the orthogonal direction. The distance between the cut line ends is referred to as tip to tip (T2T) and there is a fundamental trade-off between the line-space pitch and the T2T.

AMAT’s new lateral etch process enables lateral etching with control of the direction so they can reduce T2T. Figure 2, illustrates the ability to reduce T2T by etching preferentially in one direction.

2020 SPIE Media Briefing Full Slides for Scott Jones Page 17

Figure 2. Reduction in T2T by Lateral Etching.

During the call I suggested to Regina that this lateral etching technique could be useful for 3D NAND stair step etching where shrinking lateral dimensions without reducing the photoresist could potentially reduce the number of masks required and she agreed that could be very interesting.

Selective Processing

Edge Placement Errors (EPE) is a serious problem particularly for complex multi-patterning schemes where the interaction of multiple masks adds together to drive up EPE.

Previous selective materials grew up and out creating a kind of mushroom structure limiting their use to thin films. The new selective deposition from AMAT grows up allowing thicker films. The new selective deposition material is also etch selective to titanium nitride (TiN) hard masks so selective patterns can be created where etching is selective to TiN and then to the new material eliminating EPE and allowing for maximum size of critical features like vias providing lower resistance.

An example of the process would be:

  1. The wafer already has a metal pattern on it.
  2. Selective deposition creates tall layers over the existing metal pattern.
  3. Gap fill deposition fill between the tall features and up over the top of the features and is then planarized by CMP.
  4. Deposit TiN hard mask.
  5. Metal lithography defines the pattern for the next metal layer.
  6. Etch the metal pattern into the TiN and into the gap fill film, this expose the underlaying selective deposition film wherever the current metal pattern overlaps the previous metal pattern.
  7. Via lithography opens where the vias will be formed, this mask can be oversized because the vias will be self-aligned.
  8. Etch the vias, the via pattern is constrained by the TiN metal hard mask in one direction and only etch out where the selective deposition material is exposed creating vias that are self-aligned to the original metal layer.

Figure 3 illustrates the EPE and cost advantage of the self-aligned process.

2020 SPIE Media Briefing Full Slides for Scott Jones Page 20

Figure 3. Selective Processing Enable EPE and Cost Improvement.

Conclusion

The three process innovations described here improve process latitude, reduce cost and time and improve performance.

  1. Square spacers eliminate process steps in SAQP processes reducing cost and process time.
  2. Directional etch improves T2T spacing enabling more compact layouts improving cost.
  3. Selective processing reduce EPE and enables maximum via sizes improving performance.
Also Read:

LithoVision – Economics in the 3D Era

IEDM 2019 – Imec Interviews

IEDM 2019 – IBM and Leti

Share this post via:

Comments

2 Replies to “SPIE 2020 – Applied Materials Material-Enabled Patterning”

You must register or log in to view/post comments.