Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/intel-will-have-1-critical-advantage-over-tsmc-and-samsung-in-2025.19813/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Intel Will Have 1 Critical Advantage Over TSMC and Samsung in 2025

Daniel Nenni

Admin
Staff member
3aebe8c3129af138dbddced80890812c


As it stands today, TSMC dominates the semiconductor foundry market, and Samsung is in a distant second-place position. Well over 50% of global foundry revenue is generated by TSMC, a consequence of the company's significant manufacturing lead over its rivals. Chip designers like Apple and Nvidia have little choice but to choose TSMC to maximize the performance and efficiency of their chips.

Intel (NASDAQ: INTC) and its nascent foundry business generates little in the way of revenue, but the chip giant is starting to make plenty of noise. The company now has $15 billion worth of deals locked down, spread across manufacturing and advanced packaging. Notably, Intel recently scored Microsoft as a customer for an unnamed future chip.

Betting the company​

In a recent interview, Intel CEO Pat Gelsinger didn't mince words when speaking about the importance of the upcoming Intel 18A process node, which Microsoft and other foundry customers will use, saying, "I've bet the whole company on 18A."

Intel expects the Intel 18A process to top TSMC's best in terms of performance and efficiency, capping an incredible turnaround that will see five process nodes launch in four years. Intel 18A is still on track to be ready for volume production by the end of the year.

While Intel offers other manufacturing processes through its foundry, Intel 18A will be the star of the show. A deal with Arm Holdings will optimize the process for Arm-based chips, opening the door for Intel to manufacture chips for smartphones, servers, and everything in between. The Intel 18A process will also be used by the U.S. Department of Defense as part of the effort to bring critical chip manufacturing to U.S. soil.

One key advantage​

While the Intel 18A process will deliver plenty of performance and efficiency improvements over Intel's current manufacturing tech on its own, one critical technology will help it stand above the competition from TSMC and Samsung. Intel will be the first foundry to offer backside power delivery, a huge deal for customers seeking high-performance, power-efficient chips.

Intel's take on backside power delivery is called PowerVia. Traditionally, the tiny wires used to deliver power to a chip have sat on top of all the layers that make up a modern semiconductor. This was fine in the past, but as chip technology has advanced, this approach has reached its limit. The wires that deliver power end up competing with the wires that connect components, creating a mess that wastes power and leads to lower efficiency.

PowerVia moves the power interconnects to the backside of the chip, removing this conflict. The company has said that this change enables a 6% increase in clock speed, which translates into higher performance. This performance gain is on top of the performance benefit gained from moving to a more advanced process node.

PowerVia will actually debut with Intel's 20A process, which will be used for the company's Arrow Lake PC chips later this year. Intel 18A will then improve on Intel 20A and be available to foundry customers in 2025.

Intel will be about a year ahead of TSMC in implementing backside power delivery, giving the Intel 18A process a critical advantage as it ramps up production next year. TSMC is expected to introduce the technology in its N2P process node, which won't be coming until sometime in 2026.

Meanwhile, Samsung is reportedly racing to implement the technology. Reports indicate that the company is moving up its plan to use backside power delivery in a process node due to launch sometime in 2025, although this hasn't been confirmed by Samsung. Regardless, Intel will still be the first to market with the technology.
Intel is playing catch-up in semiconductor manufacturing after rampant delays in the past enabled TSMC to pull ahead. For the first time in a long time, Intel will beat TSMC to the punch with a critical new technology. The company has already won over Microsoft, and more major customer wins are likely in the cards as Intel 18A, boosted by backside power delivery, nears completion.

 
Intel PowerVia certainly is an advantage against AMD at 20a and 18a but it is not an advantage against TSMC until foundry customers can use it and I have not seen that yet, nor has anyone I know inside the semiconductor ecosystem. Will the announced 18A foundry customers use PowerVia? Back Side Power Delivery certainly looks good on paper but will it yield the intended benefits? Too soon to tell, my opinion.

I do not have a lot of confidence in Samsung getting foundry customers with BSPD but TSMC certainly has a shot at it. TSMC has the customer base and ecosystem to pull it off, absolutely. The TSMC Symposium is next month and I'm sure it will be discussed. My guess is that TSMC's roadmap will accelerate due to the Intel pressure which is why we need Intel and Samsung to step it up!
 
Intel PowerVia certainly is an advantage against AMD at 20a and 18a but it is not an advantage against TSMC until foundry customers can use it and I have not seen that yet, nor has anyone I know inside the semiconductor ecosystem. Will the announced 18A foundry customers use PowerVia? Back Side Power Delivery certainly looks good on paper but will it yield the intended benefits? Too soon to tell, my opinion.

I do not have a lot of confidence in Samsung getting foundry customers with BSPD but TSMC certainly has a shot at it. TSMC has the customer base and ecosystem to pull it off, absolutely. The TSMC Symposium is next month and I'm sure it will be discussed. My guess is that TSMC's roadmap will accelerate due to the Intel pressure which is why we need Intel and Samsung to step it up!
I don't think there's much doubt that BSPD will deliver the *technical* benefits claimed -- lower voltage drops and access resistance, easier signal routing due to lack of conflict with power routing.

Whether there will be corresponding technical *disadvantages* -- worse hotspots, cooling problems, maybe manufacturability/reliability/yield? -- remains to be seen, and some of these might not be obvious until production volumes ramp up.

At least in the short term BSPD has some definite disadvantages as far as business and risk is concerned -- cost is likely to be higher, IP availability restricted and porting from N3 more difficult because of radically different libraries and layout structures. It'll take some time for the IP ecosystem to switch to BSPD, especially in nodes like N2 where FSPD also exists and is safer/more guaranteed to have lots of customers.

So until BSPD has been proved out in volume production and presumably with silicon and IP from multiple sources -- especially TSMC! -- I think many customers are going to be unwilling to take the risk of switching to it -- unless the benefits are particularly high for their products, which is certainly the case for Intel (x86 CPUs) and explains their enthusiasm for it.

I don't think it's that TSMC are so much behind Intel as their drive to switch to BSPD is not the same i.e. not as urgent. But I also wouldn't be surprised if they bring N2P forwards to counter the "Intel is best" publicity onslaught... ;-)
 
These articles written by financial outfits always miss the nuisance as a rule. Isn’t BPD coming immediately after for TSM? They are just making sure they get GAA down first because until like Intel they have customers banking on and expecting high volume shipments.
 
Intel PowerVia certainly is an advantage against AMD at 20a and 18a but it is not an advantage against TSMC until foundry customers can use it and I have not seen that yet, nor has anyone I know inside the semiconductor ecosystem. Will the announced 18A foundry customers use PowerVia? Back Side Power Delivery certainly looks good on paper but will it yield the intended benefits? Too soon to tell, my opinion.

I do not have a lot of confidence in Samsung getting foundry customers with BSPD but TSMC certainly has a shot at it. TSMC has the customer base and ecosystem to pull it off, absolutely. The TSMC Symposium is next month and I'm sure it will be discussed. My guess is that TSMC's roadmap will accelerate due to the Intel pressure which is why we need Intel and Samsung to step it up!
You mean that PowerVia is optional? Can a customer create a 18A chip without it?
 
What I learned from past and know are:
1. tsmc migrated their nodes (eg. transistor architecture, channel material) conservatively, one-by-one and executed precisely. intel seemed failed in intel 10, stumbled in intel 14 and very successful in intel 22.
2. tsmc moved from planar (N20) to FinFET (N16) using the same DR, and will change transistor architecture to nanosheet in N2 using the same DR as N3 (the last node of FinFET). BSDPN could be later. tsmc seems not to be the first, but takes lion share of market.
3. To return to technology lead in 18A, intel takes more moves again. There are more risks, less time and more challenging.
4. BSPDN might change/deter the OSAT advanced package technology future, due to it will need EUV tool for nTSV which uses nearly the same pitch as that of CPP in FEOL. I don't
believe OSAT will bet (invest) $180M/tool EUV in production soon.
5. Intel is in catching up mode for EUV HVM (could be in Powervia) and now jumps to hi NA EUVL (although not for 18A). It is multiple tasks, similar aNbY strategy and more risk.

Precise executing is critical for intel. Go for foundry competition.
 
One of the problem Intel has is that there's more mobile customers than HPC customers. CPU and GPUs are high-volume HPC chips but Intel is unlikely to get orders from AMD who is in rear-view mirrors.
 
One of the problem Intel has is that there's more mobile customers than HPC customers. CPU and GPUs are high-volume HPC chips but Intel is unlikely to get orders from AMD who is in rear-view mirrors.
Ya. As Scotten Jones' articles discuss, Intel's are high perf. (lower density); and most customers want the higher density w/ lower power (like Apple or Mediatek). As it stands, I don't see Apple ordering from Intel in the near future unless they plan to use Intel's nodes for their desktop processors, which I think is unlikely.
 
Back to original comment: Is Powervia a major differentiator? Are people making decisions based on it? If so when will TSMC have it for their customers.

Intel is using TSMC instead of 20A for some Chiplets Why isn't Intel choosing 20A Powervia if it is a major differentiator?

I don't know the answers... just asking. We will get the answers by the end of the year hopefully
 
Back to original comment: Is Powervia a major differentiator? Are people making decisions based on it? If so when will TSMC have it for their customers.

Intel is using TSMC instead of 20A for some Chiplets Why isn't Intel choosing 20A Powervia if it is a major differentiator?

I don't know the answers... just asking. We will get the answers by the end of the year hopefully
Intel 20A vs TSMC N3 is a balance of available capacity and also pre-existing agreements the prior Intel CEO signed with TSMC (+ maybe some updates from Pat to hedge future risk on whether his 20A/18A strategy was going to work or not).

You also don’t necessarily need the extra performance of PowerVIA for lower yield / cost / performance parts. I.e. Core i3 vs Core i9, or a $300 vs $1600 GPU.
 
Intel 20A vs TSMC N3 is a balance of available capacity and also pre-existing agreements the prior Intel CEO signed with TSMC (+ maybe some updates from Pat to hedge future risk on whether his 20A/18A strategy was going to work or not).

You also don’t necessarily need the extra performance of PowerVIA for lower yield / cost / performance parts. I.e. Core i3 vs Core i9, or a $300 vs $1600 GPU.
Not sure what pre-existing commitments are... It will be over 3 years from initial plans for Arrow lake when it launches in 2H 2024 as committed . Intel needs less that 5000 wafers per month (that assumes below average yields) for the launch of the CPU chiplet for Arrow lake and the volume in the first half of 2025. Lets see if 20A/18A volume passes TSMC N3 sales to Intel at some point in the future.
 
Not sure what pre-existing commitments are...
After 7nm was delayed in 2020 then CEO Bob Swan said he was considering going external. Later on they talked about how they were progressing well in moving their design collaterals to TSMC. Early in Pat's tenure he had a ton of flights to TW to meet with CC Wei reportedly about said pre-signed deals. Pat has also said that when the board asked him to be CEO rather than just another board member that he demanded unanimous support to redouble on manufacturing rather than continue outsourcing. If intel's core products were all internal one doesn't need to stop outsourcing as it had never occurred. The proof of the success (or lack of success) of this renewed manufacturing focus will be 14A. Do internal and external products come in large volume or not? If TD and MSO demonstrate that they have their mojo back with intel 4/3/20A/18A, they should. If they fail then when it comes time to sign N1.4 and N1 contracts intel and the other major fabless firms will form a line out TSMC's door. It is as simple as that.
 
Good info thanks! Thanks for sharing that Info publicly. If Intel shows that 18A and Intel 3 deliver on time and are cost effective, maybe BUs will start to choose Intel over TSMC. Maybe not or maybe they continue to send designs to both. Its good that Intel gets to choose the best supplier for core products now.
 
Good info thanks! Thanks for sharing that Info publicly.
That is all out there in interviews Pat has done and the earnings calls that happened during the twilight of BS’ tenure as CEO. I just have a good memory for useless information :D. The meetings with CC I think I remember seeing on the semiwiki forums back in like mid 2022 around when I first started reading them.

They also had a client roadmap slide that literally said “external N3” would be used in the MTL/ARL generation, and that LNL and beyond will have “external”. Even more reason why I don’t understand the “N3 outsourcing is proof of intel foundry collapse” arguments. Chip design takes years and you must also make commitments to the foundry years in advance so they can have the capacity ready.
1710521207376.png

If Intel shows that 18A and Intel 3 deliver on time and are cost effective, maybe BUs will start to choose Intel over TSMC. Maybe not or maybe they continue to send designs to both. Its good that Intel gets to choose the best supplier for core products now.
I think this or external orders would be our best measure of the degrees of success or failure.
 
They also had a client roadmap slide that literally said “external N3” would be used in the MTL/ARL generation, and that LNL and beyond will have “external”. Even more reason why I don’t understand the “N3 outsourcing is proof of intel foundry collapse” arguments.
I think it was assumed in the past that the external would just be for the GPU and northbridge tiles, not the CPU. Although I don't think that external CPU sourcing is necessarily an indication of failure either.
 
Back
Top