Transform recipes into successful products: Speed up your formulation and validation

This course will be held Online

LIVE WEBINAR | 11 AUGUST 2021 | 2 PM EST How efficiently can you capitalize on your R&D potential, develop new products with more complexity and bring these products to market faster? Respond effectively to emerging market trends and maintain a competitive edge through flexible, efficient and comprehensive formulated product data management. Watch this 30 minute …

Validation and verification of physics-based sensor simulation

Online

LIVE WEBINAR | 16 DECEMBER 2021 | TWO SESSIONS AVAILABLE Versatile, reliable, and affordable LiDAR technology supports road safety and comfort   High-fidelity sensor simulation is required to develop and test autonomous vehicles thoroughly. Measurement data from physical sensors must be compared against simulated data to validate physics-based sensor models using a three-step approach. The first …

Webinar: Formal Validation of a Datapath Pipelined Design with VC Formal

Online

Synopsys Webinar: Wednesday, November 30, 2022 | 10:00 - 11:00 a.m. Pacific Finite Impulse Response (FIR) filters are widely used in communication, consumer electronics, and many other digital signal process (DSP) applications. A FIR filter includes a complex pipelined datapath based on arithmetic functions such as multipliers with its output at any given time depending …

Webinar: Using Formal Datapath Validation to Verify AI Processor Computations hosted by Synopsys

Online

Summary For over a decade, CPU and GPU design companies have been using Synopsys VC Formal Datapath Validation (DPV) app with its HECTOR™ technology to verify their data processing elements because traditional verification methods cannot exhaustively verify the correctness of mathematical computations in these designs. Like CPUs and GPUs, AI processors are also datapath heavy …

Webinar: Surgical Lighting – Photometric Requirements Validation

Online

The upcoming webinar is tailored to optical engineers working in healthcare companies, especially surgical lighting. By attending this webinar, engineers will get a better understanding of Speos in general and of regulation checking, in the specific case of surgical lighting. A basic introduction to unlit and lit rendering of the product will conclude the webinar. …

Webinar: EV Subsystem and Vehicle Validation Using MIL and HIL

Online

TIME: NOVEMBER 1, 2023 3:00 PM IST Venue: Virtual About this Webinar This webinar will focus on how Ansys ROM technology enables component behavior extraction for integration into sub-systems and system validation. We will discover how the twin builder model is integrated for MIL, HIL, and virtual drive validation. What You Will Learn Introduction to …

Webinar: Making the Right Connections – Taking the Guess Work out of DFT Connectivity Validation

Online

Synopsys Webinar: Tuesday, November 28, 2023 | 10-11 am. PT System-on-Chip (SoC) designs continue to grow in both size and complexity in order to meet the ever-growing performance and power demands associated with modern technology. To keep up with this fast-paced evolution, the corresponding design-for-test (DFT) logic required for manufacturing tests has also become more …

Webinar: IR Driver Monitoring System: Virtual Packaging and Validation with Ansys Speos

Online

Join us for a webinar on December 28th outlining the virtual packaging and validation of IR Driver Monitoring System design. This webinar will spotlight how Ansys can help you by providing quantifiable data that can be presented to stakeholders within the development's design phase, resulting in saving development time and cost. Date: December 28, 2023 Venue: Virtual …