ads mdx semiwiki building trust gen 800x100ai

CDNS V. BDA: Motion to Dismiss

CDNS V. BDA: Motion to Dismiss
by Paul McLellan on 05-02-2013 at 1:00 pm

The Cadence-BDA saga continues with Berkeley Design Automation today filing a motion to dismiss. You can read the full motion HERE. My previous blog “Cadence Sues Berkeley Design Automation” with 30+ comments is HERE.

The first problem BDA brings up is that the DMCA claim by Cadence is so vague that it doesn’t state a claim on which relief can be granted. DMCA was put in place by congress as a result of lobbying by the entertainment industries primarily to make defeating DRM illegal and posting DRM-free versions of songs/movies illegal. It is not clear what BDA are supposed to have done that falls under these rules.

There are 3 ways to integrate Cadence’s ADE with Berkely. Via Oasis, via Skill or what BDA calls Unified Integration. To integrate via Oasis clearly requires a (Cadence) Oasis license. BDA claims that the Unified Integration does not (since it doesn’t use Oasis at all).

BDA used to be a member of the Cadence Connections Program (which allows non-Cadence software to be integrated into flows with Cadence software, and gives the 3rd party EDA companies very cheap access to whatever Cadence software is needed to perform the integration).

As far as I know, BDA doesn’t actively bypass the Cadence license manager or anything similar, which seems to be the sort of thing that DMCA is meant to cover. They bypass needing an Oasis license by not using Oasis, not by (for example) patching ADE so it doesn’t request a license.

So to me (as a non-lawyer, so this is totally above my pay-grade) it seems that the DMCA claim is a bit of a stretch, which means the case comes down to what BDA were or were not allowed to do to perform integration under the terms of the Connections program legal agreement that both parties signed.

Cadence’s claim is that integrating with ADE requires an Oasis license and by not integrating through Oasis then BDA is violating either DMCA and/or the terms of their Connections Program Agreement.

However, BDA’s motion claims that:”Nothing in that agreement specifies that BDA must use any ‘OASIS integration product’ or cause its customers to obtain an OASIS license.”

BDA joined the Connections Program in 2005 (HERE is a press release about it). So for 6 or 7 years there was no issue. Of course the original Cadence complaint says that they only discovered an integration not requiring Oasis last year. Since Synopsys acquired Magma (and thus FineSim) BDA has been the “independent” simulation product. Not to take anything away from their technology, but big customers like to keep their suppliers honest and I’m sure BDA has benefited from that. You have to wonder to what extent Cadence’s actions are a response to BDA’s success. They can afford more lawyers than BDA, of course, so a lawsuit is a competitive advantage from Cadence’s point of view.

It looks like a court date is set for June 4th (in the middle of DAC in Austin) although that might be one of those boilerplate things and nothing will happen on that timescale. Avant! managed to delay going to court for literally years, as you may remember.”PLEASE TAKE NOTICE that on June 4, 2013, at 9:00 a.m., or as soon thereafter as the matter may be heard, before the Honorable Yvonne Gonzalez Rogers, Judge of the United States District Court, Northern District of California, Oakland Division, in Courtroom 5, Second Floor, there will be a hearing on Defendant Berkeley Design Automation, Inc.’s Motion to Dismiss Cadence Design Systems, Inc.’s DMCA Claim or for a More Definite Statement.”


Costello on Story Telling

Costello on Story Telling
by Paul McLellan on 05-01-2013 at 9:03 pm

Last night at Cadence was the next installment of what I have been calling Hogan University. Jim interviewed Joe Costello about how to tell a story as part of the EDAC emerging companies series of events. The main focus was how to tell a story as a small EDA company communicating with investors, although there are obviously other forms of communication. I’m assuming that if you are reading SemiWiki that you know that Joe Costello was CEO of Cadence for many years, taking it from its birth as a merger of ECAD and SDA to a big EDA company (I think over $1B by the time he left).

Rather like in his keynote at DAC a few years ago, Joe tried to distill things down into some rules (some of them the same rules even).

So rule #1, if you want to tell a compelling story then you have to have a compelling story. You can mess up a good story by the way you tell it, but you can’t make a poor idea good by the way you tell it. The biggest failure in pitching to investors is not how you tell it, it is just that your story is not compelling. “The common cold is interesting but smallpox is compelling.”

Rule #2, which also was one of the keynote rules and clearly everyone who worked for Joe had already heard: write the press release first. It will never sound better when it is just a dream and you haven’t had to make any compromises in implementation. So if it doesn’t sound good as a press release then…maybe time to think again (“pivot” in current VC terminology).

Rule #3 is to look at things from the point of view of the investors you are pitching to. This is a bit like the “think like a fish” rule at Joe’s keynote that had him lying on the stage pretending to be a fish. You might want to create a company to change the world, or to experience a startup, or to follow your dreams. Investors mostly want to make money, but they also have other agendas (like looking good to their partners or expanding into whatever all the other VCs are investing in that week). Yes, they want to see passion, but mostly because passion means you are more likely to make the effort necessary to succeed.

Rule #4 is to be yourself, don’t try and imitate Steve Jobs (or Joe Costello) in the way you present. You have to own your story and do it the way you want. Oh, and don’t use slides except for a few pictures/graphs. Joe didn’t mention it but there is actually a lot of research that shows that if your slides are basically bullet points that tell the whole story then they detract, not add, to your presentation. Just use slides to add graphics and emotion to what you are saying (watch any Jobs keynote to see the master at work, no bullet points to be seen). Or don’t use them at all.

Rule #5 is that you need to get to the emotional needs of whoever you are pitching to. Break up logical thinking with humor, oddball facts and tangential stuff. And no slides with bullet points (see above).

Here is an interesting point I’d never heard before. Intel pays more to PG&E for simulation than it pays the entire EDA industry for all the simulators they use. And they don’t even bitch about electricity prices.

When Joe left Cadence, apparently he interviewed with Jobs to be CEO of Apple. Steve eventually said that he only had experience selling very geeky stuff to very geeky companies. He had no experience selling to consumers. Joe tried to point out that every developer is a human being and has to be sold to. I guess Jobs wasn’t convinced since Joe didn’t get the job.

With that, it was time for us all to go home.


DAC: Calypto Insight Presentation

DAC: Calypto Insight Presentation
by Paul McLellan on 05-01-2013 at 5:39 pm

DAC has several “Insight Presentations” on Wednesday June 5th. Bryan Bowyer from Calypto will be presenting from 2-4pm that day (don’t know where, the DAC website doesn’t have a room number specified yet). The topic is Reducing Design and Debug Time with Synthesizable TLM. TLM, of course, stands for Transactional Level Model.

For teams designing hardware accelerators (that is, hand-crafted RTL blocks implementing a function in hardware as opposed to software) on an SoC, debugging and integrating the new block is often the most difficult task. For new standards, such as H.265 and Ultra HD TV, companies have moved to synthesizable, transaction-level SystemC to reduce design and debug time.

This Insight Presentation describes an approach to reduce design and debug time of hardware accelerators by 50%.

The presentation starts with information about designing synthesizable TLMs in SystemC (not all SystemC is synthesizable). Of course, before synthesizing the SystemC it needs to be verified and assertions are one way to meet functional coverage goals. Debugging transactions versus RTL (which is much lower level) requires a different approach, which is the next topic covered.

So now you have your design in synthesizable TLMs in SystemC. So the next step is to actually synthesize this using high-level synthesis (HLS). The output from this process is RTL, which can then subsequently be input to traditional RTL synthesis to get to a netlist and so on down the usual RTL to GDSII pipe.

But is the RTL correct? Sequential Logical Equivalence Checking (SLEC) is the tool to use to prove that the RTL matches the original TLM input, in just the same way as (non-sequential) equivalence checking can be used to verify that the RTL and a netlist match during regular RTL synthesis.


This is thus a complete methodology for creating a design using TLM in System-C, verifying it, synthesizing it and formally checking the synthesis is correct. In most ways it is like writing a design in synthesizable RTL, verifying it, synthesizing it and formally verifying it. Except that it is another level up, with all the attendant increases in productivity, ease of making big (architectural) changes and so on. Along with bringing in pre-designed IP, it takes design up to the transactional level.

Details on the Insight Presentation are on the Calypto website hereand on the DAC webtsite here.


DAC Keynotes: 5 This Year

DAC Keynotes: 5 This Year
by Paul McLellan on 05-01-2013 at 2:38 pm

DAC is in Austin this year, as I’m sure you know, and DAC has keynotes by CEOs of two Austin-based companies Freescale Semiconductor and National Instrument. Two more keynotes (one split into two) are focused on mobile, which has become the major driver of semiconductor today. A fifth keynote, including presentation of the best paper award for DAC 2013, is by Alberto Sangiovanni-Vincentelli, who should need no introduction to readers of SemiWiki.

The five keynotes are:

  • Monday June 3[SUP]rd[/SUP] at 10.15: Greg Lowe of Freescale Semiconductor in Austin on Embedded Processing—Driving the Internet of Things. Greg has been the President and CEO of Freescale since June 2012 following a career at Texas Instruments culminating in being senior vice-president of analog.
  • Monday June 3[SUP]rd[/SUP] at 4pm: James Truchard of National Instrument in Austin on Looking Ahead to 100 Years—Platform Engineering. James is the President and CEO of National Instruments. He co-founded National Instruments in 1978 where he has lead the vision to equip engineers and scientists with tools to accelerate productivity, innovation, and discovery.
  • Tuesday June 4[SUP]th[/SUP] at 9.15am: Namsung (Stephen) Woo of Samsung, Korea on New Challenges for Smarter Mobile Devices. Dr Woo is a president of Samsung and GM of the System LSI business. He joined Samsung in 2004 from Texas Instruments.
  • Wednesday June 5[SUP]th[/SUP] at 11.15am: The Designer Keynote features two speakers (dual core?), J. Scott Runner of Qualcomm in San Diego and Sanjive Agarwala of Texas Instruments in Dallas. Scott will present on Design and Methodology of Wireless ICs for Mobile Applications: True SoCs Have Come of Age.Sanjive will present on Infrastructure Embedded Processing Systems – Trends and Opportunities. Scott is currently the Vice President of Advanced Methodologies and Low Power Design at Qualcomm Technologies, Inc. He has worked in engineering in the semiconductor and EDA industries for 30 years, including being a “founding” member of the DesignWare team at Synopsys. Sanjive a TI Fellow and Director of WW Silicon Development in Processor Business at Texas Instruments, responsible for, among other things, the roadmap and development of TI C6x DSP core.
  • Thursday June 6[SUP]th[/SUP] at 11am: Alberto Sangiovanni-Vincentelli of UC Berkeley on Crystal Ball: From Transistors to the Smart Earth.Alberto was instrumental in the founding of both Cadence and Synopsys and is also a Kaufman Award recipient for “pioneering contributions to EDA”. The DAC Best Paper Award will also be presented during this session.

Details of all the keynotes, including outlines and full presenter biographies are all on the DAC website here.

The Design Automation Conference (DAC) is recognized as the premier event for the design of electronic circuits and systems, and for Electronic Design Automation (EDA) and silicon solutions. A diverse worldwide community representing more than 1,000 organizations attends each year, represented by system designers and architects, logic and circuit designers, validation engineers, CAD managers, senior managers and executives to researchers and academicians from leading universities. Close to 60 technical sessions selected by a committee of electronic design experts offer information on recent developments and trends, management practices and new products, methodologies and technologies. A highlight of DAC is its Exhibition and Suite area with approximately 200 of the leading and emerging EDA, silicon, IP and design services providers. The conference is sponsored by the Association for Computing Machinery (ACM), the Electronic Design Automation Consortium (EDA Consortium), and the Institute of Electrical and Electronics Engineers (IEEE), and is supported by ACM’s Special Interest Group on Design Automation (SIGDA) and IEEE’s Council on Electronic Design Automation (CEDA). More details are available at: www.dac.com.


Accelerating Design Debug in an ASIC Prototype

Accelerating Design Debug in an ASIC Prototype
by Daniel Nenni on 04-30-2013 at 8:15 pm

ASIC prototyping in FPGAs is starting to trend on SemiWiki. As FPGA technology becomes more advanced customers tell me that the traditional debug tools are inadequate. Faced with the very restrictive debugging capabilities and very long synthesis/place/route times the debugging cycle in these prototype platforms are quite long and painful.

SemiWiki has been writing about a tool from Tektronix called Certus. This tool has been adopted by several of the top semiconductor companies because it addresses long debug cycles through advanced capabilities that are orders of magnitude better than existing tools in several dimensions.

The Certus ASIC Prototype Debug tool has significant competitive advantages versus other offerings. The differentiation is rooted in Certus’s unique and patent pending observation network. The figure below quantifies how this solution is multiple orders of magnitude better in three dimensions compared to existing ASIC Prototype debug solutions.

In order to develop a truly compelling solution, the Certus team had to develop several industry firsts:

  • the only one that enables full visibility which eliminates most FPGA synthesis-place-route cycles.
  • the only solution that provides time correlation of data across multiple clock domains and devices.
  • The only solution with real-time compression of captured data enabling capture of full startup sequences and system wide events.

The ability to fully instrument a multi-FPGA prototype with a dozen large FPGAs enables users to debug their hardware rapidly under real-world stimulus. Because of the visibility advantage, development teams can then provide the prototype platform for their software and firmware groups with the instrumentation intact to enable rapid debug of hardware/software bugs and to optimize system performance by analysis of performance bottlenecks.

Certus fundamentally changes the FPGA prototyping flow and dramatically increases debug productivity. By leveraging Certus full RTL-level visibility and making internal visibility a feature of the FPGA prototyping platform, an engineer can diagnose multiple defects in a day where it would take them a week or more with existing tools.

A major challenge today is that traditional FPGA debug tools are unable to support the requirements of the ASIC prototyping market, particularly as designs have become larger and span multiple FPGA devices. Add the increased complexity of hardware/software interactions and the high-speed operation of most prototypes, and FPGA debug has become a major bottleneck in the ASIC prototyping process. Now, by using Certus 2.0 to pre-instrument up to one hundred thousand signals per FPGA device, designers gain comprehensive RTL-level signal visibility without time consuming synthesis and place and route cycles, allowing complex problems to be pinpointed and resolved quickly.

Those who are interested in learning more can send an email request to eig-info@tektronix.com for white papers or demos at DAC.

lang: en_US


(Must Read) Arteris Blog activity: IP, 20 nm node and CTO interview

(Must Read) Arteris Blog activity: IP, 20 nm node and CTO interview
by Eric Esteve on 04-30-2013 at 8:10 pm

I just read three very interesting blogs from Arteris. In the first “The Semiconductor Industry Needs an IP Switzerland”, Kurt Shuler, VP of Marketing for Arteris, enjoys about the fact that four big IP players (ARM, Synopsys, Imagination and Cadence) are emerging after years of fragmentation within the semiconductor IP industry. You can see the Top 10 IP vendor by license revenue in 2012 (from Gartner):

For chip makers, this new landscape is certainly better, or at least more comfortable: if the pure one-stop-shop (a single vendor selling IP) is perceived as a high threat, as this unique company could be bought by anybody, including a competitor chip maker (imagine what’s happen if Samsung buy ARM Ltd only!), on the other hand, having to negotiate price, license contract, technical support etc. with as many vendor as the number of integrated IP… is also a nightmare, at least extremely time and resource consuming. Kurt position Arteris as “an IP island, enabling semiconductor vendors to choose “the best IP for the job,” helping SoC design teams assemble and verify their chips at an increasingly fast pace”.

The nature of the product developed and marketed by Arteris, “Network-on-Chip” or NoC, makes this assertion 100% (if not 110%) TRUE. The NoC is by nature at the intersection of all the functions, IP and self-designed blocks, within a chip. Read more about “IP-Switzerland” blog.

The second article is a synthesis of the discussions that Kurt had with no less than 13 analysts. Kurt summarizes these discussions by extracting three main points:

#1: Chips manufactured on the latest process nodes will cost more

#2: Never assume what you call your product or technology is what other people call it!

#3: The IP industry is growing up

The first point is true and means that our industry will have to re-invent itself, at least if we expect to benefit from continuous innovation and creativity that only high competition can bring, and not an ultimate consolidation, where only a couple of chip makers will survive…

The third point is not only true in 2012, but we can expect IP market to grow for another decade, in my opinion.

Kurt wrap-up the discussion he had with the 13 analysts (this is the second point), it seems that they disagree on the way to call Arteris flagship product. In Semiwiki, we have always called it “Network-on-Chip”, and I would like to explain why. In a blog about Arteris and Sonics, I have posted a comment, back in December last year:

Posted on 12 -03 2012
Just an addendum, I have made a Google search for “On Chip Communication Network” (or OCCN, acronym used by Sonics to name their SGN IP) and “Network On Chip” (NoC, used by Arteris to name FlexNoC IP). The result is impressive!

– “On Chip Communication Network” gives 220 000 entries

when

– “Network On Chip” gives 6 620 000 entries

Even Google is voting for Arteris…

I have checked again today:

Verified on 04 -30 2013

  • “SoC fabric” gives 13 800entries
  • “IP fabric” gives 37 800entries
  • “interconnect ip” gives 22 800entries
  • New search for “network on chip” : 3 650 000 entries
  • And for: “On Chip Communication Network” gives 126 000entries

My conclusion would be that Arteris should keep using “Network on Chip”, sometimes it’s better to listen to your feeling than analysts…especially when it gives better results!

Finally the last article that Kurt has recently posted is an interview from Laurent Moll, CTO at Arteris: System-Level Design sat down with Laurent Moll, chief technology officer at Arteris, to talk about interoperability, complexity and integration issues.

Such an interview is almost impossible to summarize is a few words, as it’s very complete and address from the nature of Network-on-Chip, to IP selection to IP commercialization… But this article is certainly a must read! For some of us, tomorrow is a bank holiday, so you should have time to read it…

From Eric Esteve

lang: en_US


Crossfire – Builds Quality with Design

Crossfire – Builds Quality with Design
by Pawan Fangaria on 04-30-2013 at 8:05 pm

Very often we talk about increasing design complexities and verification challenges of SoCs. With ever growing design sizes and multiple IPs on a single SoC, it’s a fact that SoC design has become heterogeneous, being developed by multiple teams, either in-house or outsourced. Considering economic advantage amid pressure on profit margin, it makes sense for any fabless design company or an IDM to outsource the components which can be developed by any third party at lesser cost with good quality. However whether a component is done by a team in-house or outside, it must be checked for its qualifying criteria. This task is easier said than done as the list of these components can be long including IOs, cell libraries, IPs etc. And at the end overall SoC integration has to be done by an expert team. Even after drawing such importance, QA task does not attract fancy of designers who are more focused on developing new designs.

It’s interesting to know about a tool exactly for this purpose which enables everyone in the design chain to contribute to right quality of the design at different stages of its making. In other words the tool actually realizes TQM (Total Quality Management) of the chip by sharing responsibilities across all partners. Crossfire, developed byFractal Technologies, employs an integral approach to quality by letting designers do QA checks during the development of their own IPs or library cells, at the time of shipping them to other SoC teams and at the time of receiving any components from other teams, thus enabling quality by construction of SoC. This tool also enables the SoC integration team to provide the test sets to IP providers which they need to qualify before dispatching their IPs.

The tool is quite versatile in accommodating most of the formats of circuit description in front-end as well as back-end domain including any user defined format in ASCII text, HTML or PDF (converted to text) and presenting the results in easy-to-understand format and easy-to-use browsers. It constructs its own unified data model to maintain consistency and accuracy.

[A form representing cell library QA aspects]

During the QA check, it flags any mismatch which can be between simple terminal names or complex functionalities such as Boolean values or timing arcs. The unified data model is flexible to accommodate proprietary data such as characterization data and data sheets.

Above is an example of CCS (Synopsys Liberty) specific checks. For any format, all checks required to validate a library are provided that allows users to quickly configure test sets essential to qualify the database. Crossfire also provides APIs for users to add their own customized checks.

Crossfire assists CAD teams to build partial test sets at various stages of design from the beginning, thus eliminating any backtracking, re-work or duplication of work and improving productivity. As an example, it first checks pin compatibility of layout, schematic and underlying format / database before going into further verification.

In case of IPs, Crossfire is optimized to deal with large data in terms of GDS or Verilog and is made intelligent to check compatibility with language dialects such as Verilog-A. As IP models can be delivered in various forms such as hard macro (GDS) or synthesizable IP (RTL), Crossfire makes sure that only appropriate checks are made for those, thus eliminating unnecessary tests. For example, at GDS, routing checks are relevant while those at RTL do not make sense. Conversely at RTL, tests can be done by running a few samples at relevant stages of the design flow. Crossfire can generate final QA report of an IP which can be delivered along with the IP to the IP customer or SoC team.

The report provides the summary of what passed and what failed with required explanation for waiving them. The QA reports and test sets make it possible for the SoC integrators to quickly determine about the acceptance of the IP affront without leaving any chance of discovery later in the cycle.

Crossfire plays a key role in quality checks from the very beginning of the design stages to the final integration, thus making sure that quality is in-built into the design. This automates the process, eliminates any re-work and assures predictable completion of SoC. It ensures that suppliers, consumers and other stake holders share responsibility towards quality of the final SoC. A white paper with a detailed description of the tool and processes can be found at Fractal website HERE.


A Programmable Electrical Rule Checker

A Programmable Electrical Rule Checker
by Daniel Payne on 04-29-2013 at 11:21 pm

IC designers involved with physical design are familiar with acronyms like DRC (Design Rule Check), LVS (Layout Versus Schematic) and DFM (Design For Manufacturing), but how would you go about checking for compliance with ESD (Electro Static Discharge) rules? You may be able to kludge something together with your DRC tool and some Tcl or Skill code, but it turns out that there is an easier approach by using a Programmable Electrical Rule Checker. At Mentor Graphics they’ve dubbed this product as Calibre PERC. I’ve blogged about PERC before, but I wanted to see what was new and decided to watch an on-demand web seminar where the emphasis was on actually using the tool.

This demo was conducted by Dina Medhat, TME at Mentor Graphics.

Dina explained that PERC can be used for three types of checks:

[LIST=1]

  • Advanced ERC Checks, something you cannot do with just DRC
  • ESD Checks
  • Design Guidelines, enforce your circuit design methodlogy

    When I designed full-custom ICs at Intel we had a written circuit design methodology which embodied our best transistor-level practices, however we had no automated method to enforce these practices, it was a manual process with a senior circuit designer staring at schematics and IC layout looking for something out of place. Talk about tedious and error prone.

    Seven ERC Checks

    The first ERC check demonstrated was an input cell pin incorrectly tied to a power pin.

    The second ERC check was finding two connected cells with different power supplies without a level-shifter cell.

    Rules were written for each of these cases and then a test design with each violation included was run through Calibre PERC. The output results looked very intuitive and descriptive to me because they pinpointed which rule was violated and where to find it in the schematic or layout views:

    The violation for different VSS nets showed one cell using VSS1 (green) and the second cell using VSS2 (pink).

    The GUI reminded me of using a web browser, because links opened up a schematic viewer that showed which rule was violated.

    For ESD the first check had three constraints:

    • Resistor must exist
    • Resistor value must be greater than 100 ohms
    • A Turn-off MOS device must exist

    Each ESD constraint has a rule written for PERC, then it was run on a test layout showing results in both schematic and layout. The second constraint was violated in this layout because it had a Resistor value of only 65.0 ohms, instead of the spec of 100 ohms or more (shown in Orange):

    A second ESD check was looking for a CDM (Charge Device Model) clamp and capacitor configuration in a voltage divider network:

    For the third ESD check they wanted to ensure that IO pads were all using protection devices with bipolar transistors, resistors and diodes in a specific topology:

    Two checks were desired to validate design guidelines:

    • Logic gates with common outputs cannot have different inputs
    • Incomplete pass gates must be flagged


    This demo didn’t show the details of how you write the rules, but I recall from previous discussions at Mentor that most rules take a dozen or so lines of code.

    Summary
    If you do transistor-level IC design and want to enforce your best practices, then consider using an automated approach with a tool like PERC.

    Further Reading

    lang: en_US


  • Recovery in 2013 Semiconductor Capex

    Recovery in 2013 Semiconductor Capex
    by Bill Jewell on 04-29-2013 at 11:00 pm

    Semiconductor manufacturing equipment has been on an upswing for the last few months. Combined data from Semiconductor Equipment and Materials International (SEMI) and Semiconductor Equipment Association of Japan (SEAJ) shows three-month-average bookings have increased for five consecutive months through March 2013. Billings have increased for the last two months.

    The question is whether the recovery in semiconductor equipment will continue. After a severe fall off during the 2008-2009 recession, semiconductor equipment recovered in the second half of 2009 and through 2010. The market weakened again in March 2011 following the Japan earthquake and tsunami. A recovery beginning in late 2011 was short lived. Bookings and billings peaked at $3 billion in May 2012 before beginning another decline due to concerns over the European debt crisis and a weak U.S. economic recovery. March 2013 bookings and billings were only about two-thirds of the May 2012 peak.

    SEMI’s December 2012 forecast called for 2013 semiconductor equipment shipments to be down 0.4%. The 0.4% decline would require average quarter-to-quarter growth in shipments of about 10%, equal to the 1Q 2013 growth over 4Q 2012. IC Insights was slightly more optimistic in its March 2013 semiconductor capital expenditure forecasts, calling for 2013 growth of 1.8%.

    The announced capital expenditure plans of the largest semiconductor manufacturers indicate moderate growth in 2013 capex. The table below shows the 2013 capex guidance for the three largest integrated device manufacturers (IDMs): Intel, Samsung and SK Hynix and for the three largest wafer foundries: TSMC, Global Foundries and UMC.

    Of the six companies, three plan significant capex increases in 2013 ranging from 9% to 17%. One company is flat and two predict declines. The total of the six companies (estimating a 10% drop for SK Hynix) is a capex increase of $2.5 billion, up 6% from 2012. Assuming other semiconductor manufacturers follow this trend, 2013 should show moderate but positive growth in capex and semiconductor equipment shipments. We at Semiconductor Intelligence are forecasting 2013 semiconductor capital expenditures will increase 5% from 2012. Semiconductor manufacturing equipment billings (which lag overall capex) should increase 2% from 2013.

    lang: en_US


    Hot Topic – CMOS Image Sensor Verification!

    Hot Topic – CMOS Image Sensor Verification!
    by Daniel Nenni on 04-29-2013 at 7:30 pm

    Mobile applications require CMOS image sensor devices that have a low signal-to-noise ratio (SNR), low power, small area, high resolution, high dynamic range, and high frame rate. CMOS image sensor imaging performance is noise limited requiring accurate noise analysis on the pixel array electronics and column readout circuitry.

    Image sensor noise sources can be categorized as spatial and temporal noise sources. Spatial noise sources include dark fixed pattern, light fixed pattern, column fixed pattern, row fixed pattern, defect pixels, dead and sick pixels, scratches, and so on. In the case of dark fixed pattern, the dark current becomes very small in deep nanometer processes and its effect is typically not noticeable during normal pixel operation.

    Temporal noise is random in nature and fundamentally limits image sensor performance. Temporal noise includes kT/C noise, flicker noise (1/f), dark current shot noise, photon shot noise, power supply noise, phase noise, ADC quantization noise, and so on. Temporal noise dominates the pixel random noisefloor and is the main source of noise in the readout circuitry.

    With the Berkeley Design Automation Analog FastSPICE (AFS) Platform, designers can use transient noise analysis to verify the impact of temporal random device noise on the readout circuitry, including ADCs and comparators, with nanometer SPICE accuracy. In addition, designers can include post-layout parasitics and characterize the circuit for process variation and device mismatch.

    In the case of comparators, as illustrated in the plots, AFS transient noise analysis quantifies absolute jitter in the trigger point with nanometer SPICE accuracy. This accuracy is important, because the comparator is a sharp transition circuit where small noise can cause large waveform perturbations.

    For full-circuit functional verification of CMOS image sensor devices, the AFS Platform has the accuracy, performance, and capacity to handle multiframe verification of a representative subset of the full array and readout circuitry with nanometer SPICE accuracy.

    Further reading: CMOS Image Sensor Verification Hot Topic:

    http://www.berkeley-da.com/prod/hot_topic_req.html

    Berkeley Design Automation, Inc. is the recognized leader in nanometer circuit verification. The company combines the world’s fastest nanometer circuit verification platform, Analog FastSPICE, with exceptional application expertise to uniquely address nanometer circuit design challenges. More than 100 companies rely on Berkeley Design Automation to verify their nanometer-scale circuits. Berkeley Design Automation was recognized as one of the 500 fastest growing technology companies in North America by revenue in 2011 and again in 2012 by Deloitte. The company is privately held and backed by Woodside Fund, Bessemer Venture Partners, Panasonic Corp., NTT Corp., IT-Farm, and MUFJ Capital. For more information, visit http://www.berkeley-da.com.

    lang: en_US