Banner 800x100 0810

Atrenta CEO on RTL Signoff

Atrenta CEO on RTL Signoff
by Daniel Nenni on 05-16-2013 at 9:00 pm

Most EDA companies sell tools into the main chip design and implementation flow such as simulation, synthesis, place & route, custom design and mask data prep. Atrenta is different. Nothing the company sells is in this main design flow. Instead, Atrenta focuses on pre-synthesis design analysis and optimization. Everything associated with developing RTL that is implementation-ready. Atrenta’s DAC story is all about RTL signoff. I recently met with Atrenta’s CEO, Dr. Ajoy Bose to find out more about Atrenta’s unusual place in the design flow and what RTL signoff really means.

Q: What design challenges does Atrenta address?
There are two primary themes here – complexity and time-to-market.
Complexity challenges result from very large SoCs pushing the limits on design size, number of IPs, power, performance, etc. These designs are typically targeted for applications such as smartphones, tablets, networking and graphics.

Time-to-market challenges result from mid to small size designs targeting applications such as automotive, consumer, industrial automation and medical devices. These are not very complex designs but they require a very quick turnaround, with design cycles in the order of 2-3 months.

There are many ways to address these problems, but we’ve found one of the most powerful methods is to find and fix as many issues as possible at RTL, before detailed implementation begins. Problems such as routing congestion or low test coverage can be found and fixed in a matter of hours with the right tools at RTL. Those same problems take many weeks to find and fix if you’re in a gate-level implementation flow. The benefits are clear.

Q: What specific problems can you find and fix at RTL?

There are many. With our SpyGlass product, we can analyze an RTL design across many domains. Most customers start with LINT. This analysis will find basic errors in the design, such as power connected to ground or unconnected nets. It will also flag RTL structures that can give synthesis tools a hard time, or ones that will cause synthesis/simulation mismatches. We also have an advanced LINT tool that uses formal technology to look deeper into the design for things like unreachable states in a finite state machine.

We also use formal technology to prove that clock domain crossing circuits will perform correctly. This is a very popular product, since CDC bugs are very hard to find in simulation and can either kill a chip or increase its field failure rate. Power is another important area for SpyGlass. The tool will verify CPF/UPF files for correctness, estimate power consumption and recommend ways to reduce power for both logic and memory. We’ll even make circuit changes automatically if desired and use a sequential equivalence tool to prove the circuit functions the same after power reduction.

SpyGlass also looks at timing constraints and ensures they are correct and consistent. We use formal technology again to prove that false and multi-cycle paths have been correctly identified. We also help with estimating test coverage and helping to improve it, both for stuck-at as well as at-speed testing. SpyGlass also helps to reduce physical design challenges such as routing congestion.

On the functional verification front, we recently added a new tool called BugScope which automatically generates assertions. This technology helps determine if there are any coverage holes in the verification plan and creates correct-by-construction assertions to help with debug.

The last part of our tool suite comes from GenSys – a chip assembly environment that automates RTL assembly and re-structuring. We find every design needs to be modified for things like test or power planes, added logic or logical/physical hierarchy divergence, etc. These tasks are very time-consuming and error-prone. GenSys makes them all easy to do.

Q: You talk about RTL signoff in your DAC positioning this year – what does that mean?
We define RTL signoff as a design flow that has “must pass” requirements for the RTL such that the design will not be moved to the next stage of implementation until those requirements are verified. It provides very high confidence that the design is robust, implementable and will meet the design goals. The growing use of “must pass” design flows is an indicator of the maturity of the RTL design process. We’ve seen a growing proliferation of these flows over the past couple of years. I think 28nm and below is driving the trend. The complexity of those designs demands air-tight RTL before hand-off to implementation and an RTL signoff flow is the easiest way to achieve that result.

Q: Who uses RTL signoff flows?

There are really two primary areas of use. First, at the IP level. Here, you want to ensure that your IP choices will work in the final design in a predictable way. There is a lot of analysis around the quality and completeness of the IP deliverables. The goal is to minimize iterations between IP development/procurement and SoC assembly. The soft IP qualification work that Atrenta is doing with TSMC is an example of this use model.

The second area is at SoC assembly. Here, you want to ensure that your design will meet its power, performance and area requirements when implemented. The goal is to minimize iterations between SoC assembly and back-end implementation. The entire design is checked at this stage, so files can be very large. We’re deploying more hierarchical capabilities to address this issue.

Q: What will Atrenta be doing at DAC?
As you mentioned, RTL signoff is a major focus area for us this year. We’ll be hosting interviews in the RTL Signoff Theater in our booth where customers and partners will discuss their experiences with RTL signoff flows. We’ll also have detailed presentations for all our products in our suites.

There are three Designer Track presentations that discuss hierarchical CDC verification, structured assembly and 3D design. Atrenta will be quite visible at the main DAC party on Monday evening as well as a special, invitation-only party on Tuesday evening. You’ll have to come to DAC to learn more about those activities.

Q: Where can our readers find out more about Atrenta at DAC?
Easy, just go to www.atrenta.com. There are prominent links on the home page where you can learn more about our product sessions and sign up for one. You can also find out what other activities we’re involved in at DAC. I hope to see many of your readers at the show.

Also Read:

Sanjiv Kaul is New CEO of Calypto

CEO Interview: Jason Xing of ICScape Inc.

CEO Interview: Jens Andersen of Invarian


AMD Reduces Power by 20%

AMD Reduces Power by 20%
by Paul McLellan on 05-16-2013 at 4:12 pm

Steve Kommrusch of AMD wrote a white paper with Calypto on how AMD reduced power by 20% on the Jaguar SoC using Calypto’s PowerPro. Dan Nenni blogged about it on SemiWiki back in February here. And now, drumroll, Steve will present the story live and in person at DAC, on Monday June 3rd at 3pm and on Wednesday June 5th at 11am. This is a private suite presentation for which you must register (here).

The AMD Jaguar X86 core is a flexible, high-frequency, processor aimed at system-on-a-chip designs for low- power markets and cloud clients. It uses 28nm process technology and has a small die area (3.1mm[SUP]2[/SUP]). Compared to the previous generation of this core, AMD Bobcat, many blocks were redesigned for improved power efficiency, including the IC loop buffer, store queue, and L2 clocks. The AMD Jaguar compute unit (CU) includes four independent Jaguar cores and a shared-cache unit with four L2 databanks and an L2 interface tile.

The starting point was the previous design known as Bobcat which was already optimized for power and so already heavily gated. The diagram below shows the number of flops that were still being clocked during a CPU halt, and how this amount decreased over a period of a few months using the PowerPro methdology.


One new block, the shared L2 cache controller, was not from the earlier design. The diagram below shows how the number of flops that are not gated falls dramatically as the focus of doing the design shifts from getting the functionality right to reducing the power.


AMD worked with Calypto to create and efficient RTL clock-gating analysis flow. RTL analysis could run over a weekend and analyze key power benchmark tests. The output was easy for designers to parse and make use of, and included recommendations for improvement and possible optimizations. Correlation between active clock count and total power was good. Ultimately the approach reduced dynamic power by approximately 20%, compared to an already power-optimized design.

Steve was the architect on this design for the clock, reset and power control signals for the Jaguar. All of these products made extensive use of clock gating to improve battery life. Steve’s white paper is available to download from here.

Full details of the DAC presentation, including links to register for either session are on the Calypto website here.


Cadence Technical Sessions @ #50DAC (Free Food!)

Cadence Technical Sessions @ #50DAC (Free Food!)
by Daniel Nenni on 05-16-2013 at 10:00 am


Cadence is a DAC anchor, everyone will visit their booth, so lets look at their technical sessions and put our agendas together. Lets start with the breakfast/lunch sessions because Cadence usually puts out quite a spread, we all gotta eat and free food tastes even better:

Has “Timing Signoff Innovation” Become an Oxymoron? What Happened and How Do We Fix It? Lunch
In this panel fielded by leading-edge technologists and venture capitalists, we will discuss the technology advances, or lack thereof, in the area of timing signoff. Timing signoff and closure is becoming the largest pole in the design flow tent with the increase in MMMC timing analysis views, lack of integrated signoff closure tools, and increasing variation factors. Each panelist will provide their insight into what’s needed from the EDA industry, academia, and users to ensure that innovation keeps pace with design needs.

The Cadence System-to-Silicon Verification Breakfast
Join us for a free breakfast to learn how next-generation system and SoC verification offerings from Cadence accelerate your system integration and reduce time to market. Learn about the newest capabilities of the Cadence System Development Suite, including Virtual System Platform virtual prototyping, Incisive® advanced verification, Palladium® acceleration and emulation, Rapid Prototyping Platform FPGA-based prototyping, and the Verification IP catalog, which adds new communication protocols and now supports acceleration and emulation. Listen to discussion about the latest methodologies for advanced verification and example applications from key Cadence customers. Be sure to bring your toughest questions for our experts panel.

Next lets look at the in-depth technology and methodology presentations targeted at creating the highest-quality silicon chips, systems-on-chip devices, and complete systems at lower costs. Sessions include the latest in signoff, mixed signal, low power, RTL-to-GDSII, custom, functional verification, verification IP, system development and hardware-software integration, high-level synthesis, PCB, and IC packaging:

[TABLE] style=”width: 100%”
|-
| style=”width: 100%” | [TABLE] border=”1″ cellpadding=”5″ style=”margin-top: 5px; border-collapse: collapse”
|-
| valign=”top” style=”width: 80px” | June 3, 2013
| align=”center” valign=”top” style=”font-weight: bold; background-color: #dedede” | Suite 1
| align=”center” valign=”top” style=”font-weight: bold; background-color: #dedede” | Suite 2
|-
| style=”font-weight: bold; background-color: #dedede” | 10:00 AM
| 3D-IC Design Methodology Trifecta
| Incisive Debug Analyzer Cuts Debug Time from Days to Minutes
|-
| style=”font-weight: bold; background-color: #dedede” | 11:00 AM
| Advanced Strategies for Implementing Power-efficient GHz+ ARMv7 Cortex®-A Processor-Based SoCs
| Multi-substrate SiP/2.5D-IC Planning
|-
| style=”font-weight: bold; background-color: #dedede” | 12:00 PM
| A Complete, Silicon-validated 20/16/14nm Solution Using Encounter and Virtuoso
| System Development Suite: Verification Computing Platform (Palladium XP)
|-
| style=”font-weight: bold; background-color: #dedede” | 01:00 PM
| Low Power Verification of Mixed-signal Designs
| Sigrity Chip-Package-Board IO-SSO Analysis
|-
| style=”font-weight: bold; background-color: #dedede” | 02:00 PM
| Advanced Implementation Techniques for Mixed-signal Designs
| LP Simulation: Are You Really Done?
|-
| style=”font-weight: bold; background-color: #dedede” | 03:00 PM
| Cadence Timing Solutions
| Netlist Handoff: Manage Complexity and Lower Design Risk By Qualifying Your Front-End Design Before
|-
| style=”font-weight: bold; background-color: #dedede” | 04:00 PM
| Power Format Update: Latest on CPF and IEEE 1801 (UPF)
| System Development Suite: Virtual System Platform Connected Virtual Prototyping
|-
| style=”font-weight: bold; background-color: #dedede” | 05:00 PM
| Cadence Qualified Signoff Down to 16nm
| Speed Design Project Turnaround with C-to-Silicon Compiler and Multi-level Verification
|-

|-

REGISTER HERE [TABLE] style=”width: 100%”
|-
| style=”width: 100%” | [TABLE] border=”1″ cellpadding=”5″ style=”margin-top: 5px; border-collapse: collapse”
|-
| valign=”top” style=”width: 80px” | June 4, 2013
| align=”center” valign=”top” style=”font-weight: bold; background-color: #dedede” | Suite 1
| align=”center” valign=”top” style=”font-weight: bold; background-color: #dedede” | Suite 2
|-
| style=”font-weight: bold; background-color: #dedede” | 10:00 AM
| Reducing the Verification Loop for Custom Design
| Accelerating Embedded Software Development with FPGA-Based Prototyping
|-
| style=”font-weight: bold; background-color: #dedede” | 11:00 AM
| Cadence Timing Solutions
| Sigrity Chip-Package-Board IO-SSO Analysis
|-
| style=”font-weight: bold; background-color: #dedede” | 12:00 PM
| Cadence Qualified Signoff Down to 16nm
| Netlist Handoff: Manage Complexity and Lower Design Risk By Qualifying Your Front-End Design Before
|-
| style=”font-weight: bold; background-color: #dedede” | 01:00 PM
| Advanced Strategies for Implementing Power-efficient GHz+ ARMv7 Cortex®-A Processor-Based SoCs
| Can Your Spreadsheet Do This —- Innovative Applications of Pre-RTL Chip Planning
|-
| style=”font-weight: bold; background-color: #dedede” | 02:00 PM
| 3D-IC Design Methodology Trifecta
| Multi-substrate SiP/2.5D-IC Planning
|-
| style=”font-weight: bold; background-color: #dedede” | 03:00 PM
| Updated Spectre Platform: Improving Your Verification Throughput
| Speed Design Project Turnaround with C-to-Silicon Compiler and Multi-level Verification
|-
| style=”font-weight: bold; background-color: #dedede” | 04:00 PM
| A Complete, Silicon-validated 20/16/14nm Solution Using Encounter and Virtuoso
| System Development Suite: Verification Computing Platform (Palladium XP)
|-
| style=”font-weight: bold; background-color: #dedede” | 05:00 PM
| Foundation IP Characterization
| Reduce Verification Time By Up to 60%. Proven
|-

|-

REGISTER HERE [TABLE] border=”1″ cellpadding=”5″ style=”margin-top: 5px; border-collapse: collapse”
|-
| valign=”top” style=”width: 80px” | June 5, 2013
| align=”center” valign=”top” style=”font-weight: bold; background-color: #dedede” | Suite 1
| align=”center” valign=”top” style=”font-weight: bold; background-color: #dedede” | Suite 2
|-
| style=”font-weight: bold; background-color: #dedede” | 10:00 AM
| Advanced Strategies for Implementing Power-efficient GHz+ ARMv7 Cortex®-A Processor-Based SoCs
| System Development Suite: Verification Computing Platform (Palladium XP)
|-
| style=”font-weight: bold; background-color: #dedede” | 11:00 AM
| Mixed-signal Verification
| LP Simulation: Are You Really Done?
|-
| style=”font-weight: bold; background-color: #dedede” | 12:00 PM
| Reducing the Verification Loop for Custom Design
| The Best of Both Worlds – FPGA-Based Prototype + Virtual Prototype Enables Early System Integration
|-
| style=”font-weight: bold; background-color: #dedede” | 01:00 PM
| Cadence Timing Solutions
| System Development Suite: Virtual System Platform Connected Virtual Prototyping
|-
| style=”font-weight: bold; background-color: #dedede” | 02:00 PM
| A Complete, Silicon-validated 20/16/14nm Solution Using Encounter and Virtuoso
| Netlist Handoff: Manage Complexity and Lower Design Risk By Qualifying Your Front-End Design Before
|-
| style=”font-weight: bold; background-color: #dedede” | 03:00 PM
| 3D-IC Design Methodology Trifecta
| Can Your Spreadsheet Do This —- Innovative Applications of Pre-RTL Chip Planning
|-
| style=”font-weight: bold; background-color: #dedede” | 04:00 PM
| Successful RTL-to-GDSII Low-Power Design
| Sigrity Chip-Package-Board IO-SSO Analysis
|-
| style=”font-weight: bold; background-color: #dedede” | 05:00 PM
| Custom/AMS Design at Advanced Nodes
| Multi-substrate SiP/2.5D-IC Planning
|-

REGISTER HERE

lang: en_US


Tag it! Your customer will love using IP compliant with TSMC9000 IP Tag specification

Tag it! Your customer will love using IP compliant with TSMC9000 IP Tag specification
by Eric Esteve on 05-16-2013 at 1:26 am

We have seen last week in a first post how crucial was the IP qualification process (TSMC 9000) to increase the probability of successfully Tape Out a chip. Being able to discriminate between dangerous and safe IP is the first step of TSMC 9000 Quality process, IP tagging is the complementary step, almost as essential as the first one. That’s why, for every IP or Library going through TSMC 9000, an unique IP tag is created and inserted into its GDSII stream for identification.

IP tagging is supported by using VSIA standard specification, which can be found here

The IP Tag allows carrying the IP vendor information and IP denomination, and also all the quality information and production status of the specific IP or Library. When TSMC customer tapes out a chip, the GDSII data base will include for every IP the physical information used to generate masks, as usual, and also the information previously included in the tag (Vendor name, IP denomination, TSMC 9000 qualification process results, production status, etc.). Any designer who has participated in the tape-out phase knows that during these crazy days, where everybody is working under heavy stress, it sometimes happens that, for example, a wrong IP version can be used instead of the right one. TSMC IP tagging policy, linked with TSMC 9000 qualification process, will ensure that such a wrong IP will NOT pass through and lead to a “fatal” error, or failed prototypes.

Including soft-IP partners, TSMC IP Alliance counts more than 40 IP vendors (you can see the various company logos above). But what’s happen if you integrate an IP from a vendor not part of IP Alliance Partners? Does this necessarily mean that your design will not benefit from TSMC IP tagging? For the first time, TSMC enables “open access” to its TSMC9000 IP tagging “specification“, and non-IP Alliance members and IP industry can now have full access to the IP tagging specification, thus enjoy the full benefits of the program. As far as the vendor you have sourced the IP from decides to use the IP tagging (supported by using VSIA standard specification), your GDSII chip description can now completely support IP tagging!

IP vendors being part of the TSMC IP Alliance are supporting a total of 5500+ IP titles: remember that that mean that each of these IP have passed TSMC 9000 Qualification process. On the other hand, semiconductor is a fast moving industry, we see new protocols or mixed-signal functions emerging every year, and new IP vendor offering innovative products emerging, not yet being part of the TSMC IP Alliance just because joining the Alliance is a process which take time. With the IP tagging contribution (“TSMC9000 IP tag specification”), TSMC extends its Open Innovation Platform® (OIP) ecosystem to non-IP Alliance members, for the final benefit of the common customers.

For these IP vendors not yet part of the IP Alliance, accessing to TSMC IP tag specification can be a good way to build up quality/production track records within TSMC (OIP).

As a remark, the TSMC9000 IP Tag specification can be freely downloaded from:
http://www.tsmc.com/english/dedicatedFoundry/services/tsmc9000_iptag.htm
and the associated IP Tag utility will be provided upon request.

It will enables customers to have full visibility into the vendor IP’s quality and production status and from a market positioning point of view, enables the vendor to be on a faster track to become IP Alliance member. In other words, accessing “TSMC9000 IP Tag specification” program, is not only good for an IP vendor customers, but it’s also a good way to faster join TSMC IP Alliance, which in turn is certainly good for developing business!

Eric Esteve


One-Stop Shop for Complete MIPI IP Solution

One-Stop Shop for Complete MIPI IP Solution
by Pawan Fangaria on 05-15-2013 at 8:00 pm

As we know mobile industry is one of the fastest growing in the electronics arena, and it has led to the emergence of several standards of interfaces between processors, devices, storage, camera, keyboard and so on. The interfaces can involve hardware as well as software and can be complex. The standards are still evolving, often leading to interoperability problems. We know, MIPI (Mobile Industry Processor Interface) Alliance, with several of its working groups is promoting open specifications for such interfaces. Well, that can ease out the interoperability problems and also optimality in terms of low power and pin count between multiple interconnections of mixed-signal devices, still one (the SoC integrator) has to deal with searching right vendors for different components; analog, IP, software with right standards; and this is a significant task considering plenty of suppliers with various offerings on various standards available in the MIPI IP market.

What’s the alternative? Close on a vendor who can provide all ranges of hardware, software, interfaces with matching versions of standards and in fact can act as your design partner to accelerate the process. When I came across the website of Arasan Chip Systems, there I found a whitepaper on MIPI and was impressed that they offer all IPs required for implementing complete MIPI standards. Following picture shows how Arasan IPs can be used to build an entire mobile device.

Arasan’s offering includes digital and analog IP, ESL models, software, VIP, test benches, compliance test vectors and so on. Arasan acts as a companion who designs and supports all its products ensuring complete interoperability between all IPs in the system.

It supports all important standards such as UFS (Universal File System), DSI (Display Serial Interface), CSI (Camera Serial Interface), SLIMbus (Serial Low-power Inter-chip Media Bus), PHY (Physical Layer Devices, D-PHY, M-PHY), UniPro (Unified Protocol) and DigRF (Digital Radio Frequency) including their physical layers and system side DMA and bus interfaces.

A standard MIPI architecture with UniPro having all layers (L1.5 to L4) can be represented as –

The PHY and UniPro products provide bus connections and are common to different standards controllers. Sample CSI-2 system architecture is represented as –

Sample DSI-2 system architecture is below –

Arasan offers both Host and Device SLIMbus cores that are fully compliant with the SLIMbus specification.

It also provides a SLIMbus Analyzer which is a versatile tool to assist in developing and debugging SLIMbus products. The system consists of hardware and software with user friendly GUI run on a PC.

Overall, Arasan provides complete range of IPs for mobile products with latest, powerful standards and can help accelerate time-to-market for these products. It’s worth looking at their portfolio of offerings.

Details of all these products can be found in Arasan’s whitepaper here.


Carbon CEO on Advanceed ARM based SoC Design!

Carbon CEO on Advanceed ARM based SoC Design!
by Daniel Nenni on 05-15-2013 at 10:00 am

Carbon Design drives a lot of traffic to SemiWiki. Actually, it’s ARM driving traffic to the Carbon landing page since Carbon and ARM work closely together. When we blog about designing with ARM IP droves of people click over. Seriously, DROVES of people. Rick Lucier has deep EDA experience and has led Carbon as CEO for the past seven years so you are going to want to read this one:

Q: What are the specific design challenges your customers are facing?
Carbon’s 50+ customers are primarily concerned with accelerating the development of advanced SoCs containing ARM processors. As part of this we see them facing the following challenges:

[LIST=1]

  • SoC Performance Optimization. Choosing the right IP and proper configuration is a difficult task given the complexity of today’s processor, fabric and memory controllers. It’s a challenge to balance the performance demands while meeting the power budget. This task used to be driven with spreadsheets and back of the envelope calculations. Now however, there is a need to accurately model the critical components in order to validation assumption and meet the market requirements, budget and time constraints.
  • Early debug and development of firmware. Being able to debug and develop “hardware aware software” well ahead of silicon yields a much shorter design cycle. Software is the long pole in the tent for design schedules and it is critical for customers to have access to a solution that provides both accuracy and performance. Solutions such as emulation and other hardware prototypes are too costly and difficult to deploy across the growing number of developers and this creates a bottleneck for design teams.
  • Provide a solution to allow tighter design collaboration within our customers’ eco system. Design times continue to shrink while the complexity of products continues to grow. Part of that complexity is a larger eco system contributing to the end product. In order to meet the time constraints, more people need to have access to the system before silicon to ensure that it meets market requirements, proper integration of 3[SUP]rd[/SUP] party subsystems and the complete software stack is optimized.

    As with all EDA vendors the goal is to identify current and future bottlenecks and provide a solution to remove them thus shortening the design cycle.

    Q: What does your company do?

    Carbon provides customers the ability to quickly assemble virtual prototypes that remove the traditional tradeoff between accuracy and performance. This saves months off the SoC design cycle and ensures that software will run on silicon the first day it shows up in the lab.

    Q: Why did you join your company?

    I have spent over 25 years in EDA before joining Carbon and have witnessed the challenges EDA companies have had in addressing the software bottleneck facing design teams. Carbon had an interesting approach in solving that problem which was very attractive – not a pure EDA company, but not a pure IP company. This approach continues to mature and with the combination of our tools, partners and business model will make virtual prototyping the norm and augment traditional hardware assisted simulation solutions in addressing the software bottleneck. I believe this approach will have a significant impact on EDA.

    Q: How does your company help with your customers’ design challenges?
    Our customers use our products to meet the following design challenges:

    [LIST=1]

  • Remove the model barrier.Model availability has always been a barrier virtual prototype adoption. Carbon’s IP Exchange is a web portal (www.carbonipexchange.com) that removes this barrier by enabling customers to easily configure IP from various IP vendors such as ARM, Arteris, Cadence etc… The portal then automatically generates 100% accurate models. Over 5000 models have been generated by our customers using this portal. For internal IP or design blocks not available from Carbon IP Exchange, we offer the industry’s leading virtual model compiler capable of generating a 100% accurate model from any Verilog or VHDL design.
  • Accelerate the creation of virtual platforms.Models are great to enable virtual prototype adoption but assembling these blocks together with necessary bare metal and OS level software in order to use them can present a time consuming challenge. To meet this challenge and get designers up and running quickly, Carbon has assembled over 80 Performance Analysis Kits (CPAKs) which consist of a variety of leading based processors, memory controllers and interconnect fabric with the ability to run various operating systems and benchmarks. This provides an excellent starting point to build a custom virtual prototype trimming weeks and months off the traditional “start from scratch” approach. These CPAKS can also be downloaded from Carbon IP Exchange.
  • Deliver a unified virtual platform that can be leverage across disciplines from architectural analysis to software development. Carbon’s SoC Designer Plus is a unique virtual prototype solution. It is the only tool which enables the same virtual prototype to be used for architectural exploration, firmware development and OS level software debug.. This is enabled by our unique Swap & Play technology. A design in SoCDesigner Plus can boot an OS in seconds using an abstract representation of the system and then switch to a 100% accurate representation at any breakpoint to enable detailed debug and analysis. With Carbon’s there is always a path to accuracy to ensure that the software will run on first silicon. Our pure software solution (no hardware assisted solutions) allows the prototype to be easily exchanged within our customer’s ecosystem and is a financially attractive alternative to traditional hardware assisted solutions.

    Q: What are the tool flows your customers are using?

    For architectural analysis the old traditional spreadsheet approach is thing of the past given today’s complexity. To address this need there is a mix of internal tools and commercially available tools such as Carbon. For customer’s that require precision (100% accuracy) Carbon is the solution of choice. For firmware development often times FPGA prototypes and emulation are used but we see a strong movement towards virtual prototypes since the platform can be delivered earlier and to a larger audience in a cost effective manner. The requirement is to have accuracy and performance which is unique to Carbon’s solution.

    Q: What will are you focusing on at the Design Automation Conference this year?

    At this year’s DAC we will be focusing on our solutions around the new ARM A57 processor.

    Q: Where can SemiWiki readers get more information?

    More information on Carbon’s solutions can be found at www.carbondesignsystems.com, www.carbonipexhange.com and by subscribing to our blog at http://www.carbondesignsystems.com/virtual-prototype-blog/

    Carbon Design Systems offers the industry’s only unified virtual prototype solution along with the leading solution for accurate IP model creation. Carbon virtual prototypes can execute at hundreds of MIPS and with 100% accuracy to enable application software development, detailed architectural analysis and secure IP model distribution. Carbon’s customers are systems, semiconductor, and IP companies that focus on wireless, networking, and consumer electronics. Carbon investors include Samsung Venture Investment Corporation and ARM Holdings. Carbon is headquartered at 125 Nagog Park, Acton, Mass., 01720. Telephone: (978) 264-7300. Facsimile: (978) 264-9990. Email: info@carbondesignsystems.com. Website: www.carbondesignsystems.com.

    lang: en_US


  • Methodics CEO on Managing Design Quality!

    Methodics CEO on Managing Design Quality!
    by Daniel Nenni on 05-14-2013 at 8:05 pm

    Methodics is new to SemiWiki and I have to tell you I’m really enjoying working with them. Their office is in a great location and the inovation spirit runs strong. Simon Butler is an interesting guy. He first started in EDA with HLD (acquired by Cadence) and was a founder at Sabio Labs (acquired by Magma). In between those startups he found time to work on FFT processors at Fujitsu, 64bit Microprocessors with Sandcraft, and was the architect behind Cadence’s Virtuoso Custom Design product (Cadence’s solution that wrapped a qualified methodology around Cadence’s mixed-signal/analog tools). His interest in software configuration management led him to develop VersIC and to get involved in the data management space, an area (in his opinion) ripe for innovation.

    Q: What are the specific custom IC design challenges your customers are facing?

    Managing and sharing data across multi-site teams, managing the quality of releases in terms of completeness and verifications, and ultimately assembling SoC designs.

    Q: What does Methodics do?

    Methodics develops Semiconductor and System Specific DM tools that leverage popular software configuration tools such as Subversion and Perforce. Our innovation was to build SoC specific tools on top of these proven DM solutions so that customers can use their existing data repositories and implement a common data management infrastructure across all their design. A common repository for their RTL data, Design Data, software etc means that making releases, automating SoC assembly and harvesting analytics on a single platform a reality.

    The Methodics portfolio of tools includes:

    1. VersIC – Analog/Mixed-Signal data management
    We provide Cadence and Synopsys solutions to integrate the analog/mixed-signal design environment into the popular Subversion and Perforce configuration management tools

    2. Evolve – Digital verification and release management
    Evolve manages all aspects of digital design and verification. It includes a framework for running tests and regressions, an integrated continuous-integration style release server, and coverage management features. Evolve tracks all verification activity in a SQL database, and ties it all together with live testplans and reporting dashboards. Evolve implements all items that most front-end design professionals wish they had in their verification infrastructure, out of the box.

    3. ProjectIC –IP/SoC Management
    ProjectIC is an enterprise IP management platform. ProjectIC enables true IP re-use across the organization by providing a flexible, searchable, IP catalog, integrated IP usage tracking, workspace management and IP permissions. With ProjectIC, companies can put together integrated, robust flows for sharing IP across teams – saving time, money and significant management overhead.

    Q: Why did you start Methodics?

    After we left Cadence, myself and my cofounder (Fergus Slorach) had a busy consulting business in the mixed-signal design space 2000-2006 developing new EDA tools, integrating existing 3rd party tools into the Custom IC design environment and implementing mixed-signal CAD methodologies. Integration of Perforce and Subversion was a common theme during that period so we wrote VersIC as an initial foray into the DM space. This business has grown from a Mixed-Signal/Analog data-management solution to a full enterprise solution for IP/SoC assembly

    Q: How does Methodics help with your customers’ custom IC design challenges?

    Methodics leverages industry standard configuration management tools such as Perforce and Subversion to track changes, manage releases and build workspaces for large multi-site teams. Methodics includes data management clients for both analog and digital design teams including dashboards with integration metrics, test history all tied to releases.

    Q: What are the tool flows your customers are using?

    Our customers use a variety of mixed-signal flows. We have customers focused only on analog design and those people are typically using VersIC standalone. Some of our customers have “Big A, Little D” methodologies with a single place and route block in the design. For those customers the ability to maintain both their analog and digital data in a single repository, and use the standard software clients for Subversion/Perforce make for a very convenient methodology. We see a lot of traction with our VersIC/ProjectIC tool for managing these blocks at either the IP or library level. Finally amongst our “Big D, Little A” customers we see a lot of VersIC/Evolve usage for managing the design data with ProjectIC used to assemble the overall SoC.

    Q: What is the roadmap for Methodics?

    Methodics acquired Missing Link Tools in mid 2012 and have been working on integrating their multisite RTL test history and regression management tools into our IP/SoC management solutions. Our vision is a common platform for managing analog and digital designs including a full test/regression history database that associates every release with the set of failing/passing regressions.

    Q: Will you be at the Design Automation Conference this year?

    Yes, we’ll be in Austin this year demoing our VersIC Analog Regressions and Release tool suite, as well as our analog/digital DM solutions and our IP/SoC assembly platform.

    Q: Where can readers get more information?

    www.methodics.com

    http://www.semiwiki.com/forum/content/section/2230-methodics.html

    Methodics, Inc is a leading developer of design data management (DM) tools for IC’s, and is the first company to offer a tool suite for global IC design collaboration. Providing standard software configuration management (SCM) functionality on an advanced modular platform that enables hardware design methodologies in a version-controlled context, the new Methodics solution represents a revolutionary approach to global design collaboration.

    Methodics Inc has developed the VersIC 2.0 platform, a DM tool that abstracts the backend database allowing easy integration of industry standard SCM tools and facilitating software-style methodologies in the custom IC design environment. The VersIC 2.0 platform allows plug-in modules that extend the data-management functionality to design reviews, diff/merge of cellviews, continuous integration methodologies and others, enhancing the global design collaboration experience for its users.

    lang: en_US


    Power, Noise and Reliability Consideration for Advanced Automotive and Networking ICs

    Power, Noise and Reliability Consideration for Advanced Automotive and Networking ICs
    by Daniel Payne on 05-14-2013 at 6:27 pm

    I love it when my Acura goes months and months without any major repair issue or computer-related glitches. Cars or networks only become reliable when they are designed and built for reliability. Freescale designs SoCs for advanced automotive and networking applications, and their engineers know much about the topics of power, noise and reliability for these demanding environments.

    Continue reading “Power, Noise and Reliability Consideration for Advanced Automotive and Networking ICs”


    Qualcomm JEDEC Mobile Keynote: Memory Bandwidth and Thermal Limits

    Qualcomm JEDEC Mobile Keynote: Memory Bandwidth and Thermal Limits
    by Paul McLellan on 05-14-2013 at 4:37 pm

    I went to some of the JEDEC mobile conference a couple of weeks ago. The opening keynote was by Richard Wietfeld of Qualcomm called The Need for Speed.

    He emphasized that smartphones are really setting the pace these days in all things mobile and internet. Over 1/3 of access is on smartphones now. Over 4/5 of searches on smartphones are spontaneous, half of smartphone users use them while watching TV (and I’ve seen numbers elsewhere that 10% use them during sex). Smartphones have to be always-on, always-up-to-date and power-efficient. It’s a tall order.

    Meanwhile the mobile ecosystem is preparing for 1000X increase in traffic. It doubled in just the last 12 months so if keeps that up for 10 years we’re there. One way this will happen is using picocells, which are basically the size of a cell-phone with either hard-wired or wireless backhaul. They need to be pretty much self-configuring. These will be deployed on the scale of individual rooms, cafes, stores etc.


    One of the big challenges in smartphones is memory bandwidth. As an example, as the number of pixels on a cameraphone increase, the memory bandwidth needed to process video and still photographs goes up. But there are limits on how much of that bandwidth can be used and for how long. In a very real sense there is a tradeoff between DRAM bandwidth and power consumption.

    There are 3 limitations:

    • limited battery life
    • temperature of the “skin” of the phone gets too hot to touch (you can’t hold it)
    • temperature of the chips gets too high leading to reboot or total failure


    Simulating the DRAM bandwidth with a model of the case leads to the conclusion that you can only run at 10GB/s for 10 minutes or at 50GB/s for just 2 minutes before the thermal limit is reached. It is not just battery life that is creating a huge challenge on the power front.

    So future memory needs are in the 10s of GB/s, power consumption needs to be low for battery and thermal reasons, packaging needs to get multiple die (or perhaps one day memory on logic 3D), the radios in the phone and the memory need not to interfere with each other…and, of course, it all needs to be really cheap. Quite a challenge.

    Richard’s full presentation is here (may need to be registered with JEDEC).


    Chip and I/O Modeling for System-level Power Noise Analysis and Optimization

    Chip and I/O Modeling for System-level Power Noise Analysis and Optimization
    by Daniel Payne on 05-14-2013 at 4:13 pm

    Cornelia Golovanovworks at LSI Corp in Pennsylvania and is an EMI expert that provides EDA tool and methodology advise to design groups. She earned a PhD in microelectronics and radioelectricity from the Institut national polytechnique de Grenoble, and joined Lucent out of school 12 years ago. We had a chance to talk by phone about her work and also DAC plans.

    Continue reading “Chip and I/O Modeling for System-level Power Noise Analysis and Optimization”