ASML is Strong Because TSMC is Hot!

ASML is Strong Because TSMC is Hot!
by Robert Maire on 10-15-2020 at 10:00 am

TSMC ASML EUV 2020
  • ASML has strong quarter lead by great Taiwan and EUV
  • EUV “crossed over” DUV as revenue leader- signaling new era
  • Taiwan doubles, China grows, Korea weaker, US further behind

ASML hits great numbers
ASML reported revenues of Euro 4B, with income of Euro 2.54EPS, both beating estimates handily. Ten EUV systems were shipped but 14 were recognized. Outlook is for revenues between Euro 3.6B-3.8B, which suggests upside to Euro 4B or better.

Shifting Numbers
There were significant shifts quarter over quarter. EUV went from 7 systems to 14 systems in Q3. Taiwan went from 21% of business to 47% with China going from 23% to 21% of business but increasing in absolute revenues. Korea slumped from 38% to 28% as EUV (which is not memory driven) dominated. The US (read that as Intel) fell off sharply fro.m 17% to 5%.

Intel “crushed” by TSMC in EUV spend- a very bad leading indicator
In the quarter Taiwan (primarily TSMC) was 47% of ASML’s business while the US (primarily Intel) was a paltry 5%. This means that TSMC is spending more or less ten times what Intel is spending on EUV.

In case there was any question as to who is winning Moore’s law by a tidal wave of investments. Intel investors should be scared…very scared. Intel is clearly voting with their feet and matching their words about outsourcing their future to TSMC, who is running away in the Moore’s law race. China spending four times what the US is spending (though none of it on EUV per the embargo) shows that China is deeply building out a strong semiconductor infrastructure and also clearly outspending the US.

Logic dominates at 79% versus memory of 21%
Logic at 79% is one of the highest percentages of revenues we have ever seen and is indicative of memory spending being subdued and perhaps weaker. The fact that this is so weighted to TSMC suggests that they are expecting a lot of business and also expect to put their foot on the EUV accelerator and leave both Intel and Samsung in their EUV dust. Memory obviously does not currently use EUV so the EUV domination of the current quarter will also outweigh memory DUV spend as ArF sales were down sharply.

Some “pushouts” and timing issues in the future?
A while ago we had talked about TSMC slowing spending and the pushouts and timing issues discussed on ASML’s call are likely related to what we heard as we may see some digestion in 2021 after its gigantic spending binge in 2020 (not unlike Samsung’s spending binge of a couple of years ago…).

This talk of pushouts and timing may spook investors but fits the pattern of our suggestion that the COVID led technology, work from home, spending spree will slow as the economic impact of COVID finally trickles down to the semiconductor industry.

Expect a lumpier business going forward
Given the dominance of EUV with systems north of $100M, a few systems more or less can make the quarters lumpier. Customer timing, pushouts and node changes will all add to lumpiness. The reality is that the end game of EUV and High NA remains the same and remains very good. The road to EUV itself was obviously very lumpy with fits and starts so investors should understand this. We would try to take a longer term view, though that may be difficult, and look at longer term trends.

Not much talk about “High NA”
We think that now that EUV is commonplace, the next upside wave will be High NA which will likely be easier (on a relative basis) as compared to the original EUV roll out. We think the potential technology benefits as well as financial benefits may make High NA more attractive than the EUV model. But High NA is still a few years away…..

Crossover from DUV to EUV – passing of the baton
ASML has now officially crossed over from being a DUV dominated company to an EUV dominated company. This brings a different set of challenges but a welcome set.

The key here is that they are the only EUV game in town so it cements their market share at virtually 100% versus having to compete (somewhat) at DUV. This makes the quite unique and valuable as compared to other semiconductor equipment companies who still slug it out in hand to hand battles. ASML is now “above the fray”

The Stocks
We don’t expect much movement on ASML’s stock price as it was already priced for the perfection we got. The talk of pushouts and timing may dampen sentiment and weigh on the stock and offset the positives. We do think it was prudent for management to keep expectations under control. At just over $400 per share ASML is not cheap nor expensive but appropriate given circumstances.

Collateral Stock Impact
In general we think that ASML’s strong performance first out in the quarter bodes well for the semiconductor equipment industry. Our main concern is that the stocks remain ahead of reality. The weak memory showing could be interpreted as bad for memory centric players, most notably Lam (though we have heard they are doing just fine) EUV spend clearly helps KLA and to a slightly lesser extent Applied.

Robert Maire

Also Read:

LRCX weak miss results and guide Supply chain worse than expected and longer to fix

Chip Enabler and Bottleneck ASML

DUV, EUV now PUV Next gen Litho and Materials Shortages worsen supply chain


Three Things You Have Wrong About Intel!

Three Things You Have Wrong About Intel!
by Daniel Nenni on 10-09-2020 at 10:00 am

Three Things You Have Wrong About Intel

First let me tell you that I have nothing but respect for Intel. I grew up with them in Silicon Valley and have experienced firsthand their brilliance and the many contributions they have made to the semiconductor industry. In fact, I can easily say the semiconductor ecosystem would not be what it is today without Intel.

But no company is perfect and there have been many bumps and bruises along their 50+ year journey. The following is just my Intel opinion of course but I will put my semiconductor experience against anyone else in the mainstream media without hesitation.

1. Intel will go fabless

It all started with a story leaked a while back that Intel signed a big wafer deal with TSMC. Next Intel CEO Bob Swan said on a conference call that Intel was in fact looking at outsourcing and the media’s imagination went crazy after that.

Intel insight: CEO on U.S. manufacturing’s role in driving the digital revolution

To be clear, Intel has been a happy TSMC wafer customer for many years so that was not really news. Most, if not all, of it was the result of Intel acquisitions but the point is there has been a trusted Intel/TSMC relationship in place for a long time.

Intel is a semiconductor legend and manufacturing is in their DNA. Whoever says Intel will become fabless (like AMD did) clearly does not work inside the semiconductor industry. It is NOT going to happen.

Here is my professional Intel CEO assessment but first it is important to understand the first 30 years of Intel leadership. Intel was led by some of the top technical CEOs the semiconductor industry will ever see:

Robert N. Noyce
Intel CEO, 1968-1975, Co-founder of Fairchild Semiconductor
Education: Ph.D in physics, Massachusetts Institute of Technology

Gordon E. Moore
Intel CEO, 1975-1987, Co-founder of Fairchild Semiconductor
Education: Ph.D in chemistry and physics, California Institute of Technology

Andrew S. Grove
Intel CEO, 1987-1998, previously worked at Fairchild Semiconductor
Education: Ph.D. in chemical engineering, University of California-Berkeley

Craig R. Barrett
Intel CEO, 1998-2005, Joined Intel in 1974, chairman from 2005 until 2009.
Education: Ph.D. in materials science, Stanford University

The first 30 years of Intel can best be described by Andy Grove’s famous quote “Only the Paranoid Survive” which resulted in Intel being the most dominant semiconductor company in the world. Unfortunately, the next two Intel CEOs were NOT paranoid technical leaders which brought Intel to where it is today, NOT the most dominant semiconductor company in the world.

The current CEO is not a technical leader but he is a financial one and he did not grow up Intel. There is no Intel born swagger in Bob Swan. This is Bob’s big adventure to make his CEO bones in the business world and he will do whatever it takes to be successful as defined by Wall Street, not Moore’s Law. The one thing Bob Swan will NOT do however is erase the Intel manufacturing legacy and go fabless. Nobody wants that on their semiconductor CEO resume.

Intel Fab 42 in AZ now ready to pump out leading edge products

However, I do believe Bob will outsource Intel designed products to TSMC but only for the price and power competitive markets.

Partnering with TSMC will put Intel on a level manufacturing playing field with competitors and Intel will have much higher volumes so margins will be an advantage. Intel can then better focus their internal manufacturing efforts on HPC chips for the cloud which is where the majority of profits will come from over the next 10 years.

2. Intel will take TSMC wafers from AMD

You should also know that the chances of Intel buying up ALL of the TSMC wafers at a given node so AMD can’t have any is ZERO. Yet another dumb thing non semiconductor professionals are saying. Wafer agreements are put in place well in advance of the design start much less manufacturing. TSMC builds fabs based on wafer agreements so there are no capacity surprises, just ask Apple.

To be clear, it takes Intel and AMD longer to design a chip that it does for TSMC to build a fab, do the math.

3. AMD is beating Intel

That is a matter of debate of course. The company financials state otherwise but remember Intel has not had to look in their competitive rearview mirror at AMD since the AM386 more than 30 years ago. Clearly that is no longer the case, I can assure you AMD is in Intel’s competitive cross hairs moving forward. Thus the expanded outsourcing to TSMC, that is a clear shot at AMD. AMD acquiring Xilinx is a clear shot at Intel and Nvidia acquiring Mellanox and Arm is a clear shot at both AMD and Intel.

Bottom line: Competition is the life blood of the semiconductor industry so this is all great news for the ecosystem and the rest of the world, absolutely.

Thus far Bob Swan seems to have the right amount of paranoia to pivot Intel back into a dominant position so two thumbs up for Bob.

On a side note, in 2013 I strongly suggested privately and publicly that Intel should acquire Nvidia and make Jensen Haung Intel CEO number six. That would have been one hell of a ride! Instead Intel hired Brian M. Krzanich which is probably the biggest Semiconductor CEO dumpster fire on record.


Will the U.S. and China go to War for TSMC?

Will the U.S. and China go to War for TSMC?
by Daniel Nenni on 10-05-2020 at 4:00 am

Will the U.S. and China go to War over TSMC

The semiconductor industry has never been more exciting than it is today and that is a mouthful given what we have accomplished over the last 50 years. From mainframe computers to a supercomputer in our pockets or on our wrists. Even if you don’t believe in miracles, semiconductor technology comes really close, absolutely.

U.S. tightens exports to China’s chipmaker SMIC, citing risk of military use REUTERS

When I started my career 36 years ago you would be hard pressed to find a person who had heard of a semiconductor much less knew what one really was. Today, it is still hard to find people who really know semiconductor design and manufacture (especially in the media) even though you can read about it every day, especially now that semiconductors could lead to the next world war.

Before you write me off as another click-baiting-chicken-little think about how important semiconductors are to modern life. Not just important, semiconductors are critical to modern life. Not just critical, semiconductors could mean the difference between life and death.

Disagree? Imagine our world without electronic devices. Imagine a business or hospital without digital equipment. Imagine a military without high tech gear. Are you getting the picture? Life or death.

Now understand that semiconductors are where modern electronics begin and end for that matter. Also understand that the semiconductor chip may have been invented in the United States but today it is a worldwide supply chain.

To be clear, no one country can succeed in semiconductor design and manufacture without others. Having grown up in Silicon Valley and spending the majority of my semiconductor professional life traveling the world I know this firsthand, front row seat, I lived it.

Being from a military family and a military history enthusiast I also know a little bit about war. My grandfather served in WWI as an Army medic and lived to tell about. In fact, he lived 102 years under my care so I heard all about it. My other Grandfather was at Pearl Harbor, my father served in Korea, and my Uncle in Vietnam. War is hell.

One of the strategic things to do when waging a war is to cut off their supply lines, right? Food, water, fuel, raw materials, etc… You can now add semiconductors to that supply list.

So why is the United States today cutting off the semiconductor supply line to China? It’s an act of war and if there is an actual war over semiconductors, where will it be fought?

Taiwan of course. Taiwan is the semiconductor manufacturing hub of the world. Taiwan is also the Republic of China, which is what my passport says, and even without semiconductors China wants political control over Taiwan. Something like what is happening in Hong Kong only with a full-on war declared.

Why is Taiwan so important to the semiconductor supply chain? Because Taiwan is the home of TSMC (Taiwan Semiconductor Corporation), the worldwide champion of semiconductor manufacturing. My first book “Fabless: The Transformation of the Semiconductor Industry” goes into more detail on how TSMC came about but the bottom line is; It’s all about the ecosystem (supply chain). Hundreds of companies around the world brought us to where we are today and nothing short of a war can stop the semiconductor ecosystem from succeeding.

Could it even be possible? China at war with the US through Taiwan? Ten years ago from the Lobby of Hotel Royal in Hsinchu I would have said absolutely not. Today, sheltering in Silicon Valley, given the current political instability and oncoming economic challenges, given the eye-for-an-eye +1 behavior of the US and China leadership, I say war is probable. Unless of course the world recognizes semiconductors as a matter of life or death and gets civilized around it. Just my semiconductor professional opinion of course.


5G, Hyperscaling and the Resurgence of Consumer Silicon

5G, Hyperscaling and the Resurgence of Consumer Silicon
by Ramsay Allen on 10-04-2020 at 6:00 am

TSMC 5G OIP 2020

At the recent TSMC OIP Ecosystem Forum and Technology virtual events, TSMC re-affirmed their previous prediction that 5G is going to be a multi-year silicon mega-trend with the biggest drivers being the ramp up of 5G handsets, supporting infrastructure and the continued growth of high performance computing (HPC).

We all want the luxury of live streaming, whether it be a concert or sports event, playing the latest games or watching HD movies on our phone, but what is it that actually allows 5G ASIC designers to deliver this enhanced level of user experience? Today’s Semiconductor advanced node technology really is the beating heart of 5G network technology.  Powering network base stations, cars, smartphones, and other connected devices, but 5G also plays an integral part of a much bigger technology phenomenon…Hyperscaling.

5G infrastructure is extremely power hungry and generally requires ~3 times the number of base stations compared to older technologies such as LTE, due to the higher frequencies involved. Moortec is already working with customers in the 5G space to help address some of these infrastructure power issues.

5G Silicon Challenges

5G enabled devices such as handsets, tablets and wearables have certainly helped revitalize the consumer electronics space as they have moved from typically planar nodes down to FinFET process technologies like 5nm.

This step change does however present designers with specific challenges, one of the biggest being increased thermal activity associated with the data intensive workloads associated with the system​. Battery life can also be an issue when running 5G and associated applications like video, and gaming etc. If the thermal conditions are not carefully monitored and controlled, handsets may either switch to a lower power 4G mode or even turn off altogether. As a user why do I care? Well, apart from the handset becoming noticeably warmer to the touch, your video download will take longer or freeze and your phone will need recharging more frequently as the battery will drain faster.

A 5G small cell can be operational for over a decade in potentially hostile environments, without any forced air cooling and as such it is particularly important to be able monitor them remotely in the field.

Moore’s Law

In the last instalment of the Moortec ‘Talking Sense’ blog my colleague Tim Penhale-Jones talked about the impact that Moore’s law and Dennard Scaling have had on the advanced node semiconductor sector. For some time, we have been cramming ever increasing amounts of processing power into each 1mm2 of silicon and this has enabled the 5G technology that we see emerging today. However, the pressure continues to Miniaturize (device size), Maximise (power & performance) and Optimize (reliability & battery life) and in order to continue to do this successfully it is critically important to understand the dynamic conditions within the device itself.

Benefits of In-Chip Monitoring for 5G Devices

By implementing highly configurable, real time embedded sensing fabrics, chip designers can address some of the challenges associated with overheating, reduced data throughput and diminished battery life. This enhances the overall user experience in consumer products like 5G handsets and increases the performance optimization and reliability of infrastructure devices in the field.

To find out how Moortec’s in-chip monitoring technologies and sensing fabrics could benefit your next advanced node 5G project contact us today.

In case you missed any of Moortec’s previous “Talking Sense” blogs, you can catch up HERE.


Synopsys talks about their DesignWare USB4 PHY at TSMC’s OIP

Synopsys talks about their DesignWare USB4 PHY at TSMC’s OIP
by Tom Simon on 09-25-2020 at 6:00 am

USB4 operating modes

When USB initially came out it revolutionized how peripherals connect to host systems. We all remember when Apple did away with many separate connections for mouse, keyboard, audio and more with their first computers supporting USB. USB has continued to develop more flexibility and more throughput. In 2015 Apple again introduced the MacBook with just a single USB Type C connector and only a headphone jack. The Type C connector has been used for USB 3.2, but will now also be used for the latest USB specification – USB4. Synopsys recently gave an excellent presentation on USB4 and their DesignWare USB4 PHY IP at The TSMC OIP event. Despite all the changes and improvements in USB, each generation maintains compatibility with earlier versions. Gervais Fong, Director of Marketing at Synopsys, clearly described how backwards compatibility is maintained while impressive new features and performance are added.

In 1998 the first specification for USB 1.1 allowed data transfers of 1.5 or 12 Mbits/s. Leaping forward, USB4 supports all previous data rates and can run at 40 Gbits/s max aggregate bandwidth. One of the biggest additions are the USB4 host controller and device routers. Nevertheless, USB4 maintains bypasses for 1 and 2 lane legacy USB up to 20Gbits/s and 1, 2 or 4 lanes for DisplayPort 1.4 TX up to 20 Gbits/s. This permits older devices that do not use a USB router to still transfer data. USB4 also supports tunneling of PCIe, USB and DisplayPort at up to 40 Gbits/s. USB4 incorporates UMTI+ and PIPE5.

Gervais included a useful slide showing USB4’s five different operating modes. Rather than try to describe the five modes, the slide is included below. The trend of combining protocols is significant. It means that with a single connector high speed data for peripherals, networking, storage and displays are all supported. This improves the user experience and offers unmatched flexibility. A high level of interoperability is available because Apple and Intel are both contributing and supporting USB’s evolution.

Five Modes for DesignWare USB4 PHY

While the user experience is improving, chip designers who want to incorporate USB4 need to ensure that their USB silicon is fully compliant and has been completely verified. The USB4 PHY alone needs to support a dizzying array of operating modes, configurations, protocols and speeds. Gervais points out the USB4 PHY is not just handling USB, it is handing DisplayPort and Thunderbolt as well. The PHY has to interface with and be compatible with the router and controllers.

Synopsys has developed a DesignWare USB4 PHY that meets all of the specification’s requirement and is available on 12nm, 6/7nm and 5nm. It is built on an optimized, low power SerDes. Gervais said that they have over 100,000 CPU hours of simulation with Synopsys routers and controllers.

Gervais also talked about their test silicon from TSMC N5 that is now being tested. The PHY includes a programmable 3-tap Feed Forward Equalizer that is used to adjust the equalization for the various operating modes and frequencies. This is essential for meeting the USB4 PHY specifications. They have achieved first silicon success in TSMC N5P. The eye diagram for this silicon at 20 Gbits/s shows a wide open eye for TX. The receive path includes a Continuous Time Linear Equalizer and 1-tap Decision Feedback Equalizer with programmable settings.

The complete DesignWare USB4 solution from Synopsys includes PHYs, router, controller, verification IP and supporting subsystems. The talk presented a comprehensive overview of USB4 and its requirements, as well as an insightful look at the Synopsys DesignWare that supports interface development.

Also Read:

AI/ML SoCs Get a Boost from Synopsys IP on TSMC’s 7nm and 5nm

Parallel-Based PHY IP for Die-to-Die Connectivity

Making Full Memory IP Robust During Design


Highlights of the TSMC Technology Symposium – Part 3

Highlights of the TSMC Technology Symposium – Part 3
by Tom Dillinger on 09-09-2020 at 8:00 am

CoWoS features

Recently, TSMC held their 26th annual Technology Symposium, which was conducted virtually for the first time.  This article is the last of three that attempts to summarize the highlights of the presentations.  This article focuses on the technology design enablement roadmap, as described by Cliff Hou, SVP, R&D.

Key Takeaways

  • Design enablement is available for N7, N6, N5, and N3, both EDA reference flows and Foundation IP.
  • N3 “specialty” IP is in development, in collaboration with the IP Partners.
  • Automotive (AEC-Q100) Grade 1 qualification is progressing for N7, offering an attractive PPA migration from N16 (available 4Q20).
  • EDA tool support is available for leading 2.5D/3D package technologies:  SoIC, InFO, CoWoS.  New EDA flow support required for (>1X reticle size) packages will be available 4Q20 (e.g., package warpage analysis).

Introduction

It is no secret that a major factor in TSMC’s foundry success has been the investment in the design enablement ecosystem, which spans the collaboration between TSMC and:

EDA partners

  • enhancing tool algorithms for new process node requirements, from place-and-route to physical design layout verification
  • collaborating with TSMC on implementation of trailblazing designs, from process bring-up memory array testsites to advanced Arm cores
  • preparing an integrated (and qualified) “reference flow” for a new process node

IP providers

  • developing critical IP functionality in a new node to complement TSMC’s Foundation IP
  • qualifying test silicon in the new node for the various TSMC platforms – IoT, mobile, HPC, and (the most demanding) automotive

Design Center Alliance (DCA) service providers

  • offering a range of front-end design resources, back-end implementation skills, custom design support, and DFT services

Value Chain Aggregator (VCA) providers

  • offering a broad range of support, throughout the IC “value chain”, extending all the way from product architecture definition to final wafer assembly/test/qualification services

and, the most recent addition to the Open Innovation Platform (OIP) ecosystem,

Cloud Alliance partners

  • collaborates with TSMC and EDA partners to provide a secure, scalable cloud compute environment for some (i.e., burst demand) or all of the IC design flow

The heart of the Open Innovation Platform is the TSMC Design Enablement (DE) organization.  Cliff provided an update on the enablement status for the upcoming advanced process nodes and packaging technologies, across the various design platforms.

Tool Certification

It should be noted that EDA tool certification at a new node is far more complex than simply running a set of SPICE circuit simulations and updating the runsets used for DRC/LVS/ERC physical verification.  Each node transition commonly introduces new, complex layout design rules, often requiring significant algorithm development by the EDA partner to provide the functionality and language commands needed to code the runset.  Multi-patterning, forbidden pitches, run-length dependent rules, line cut rules, and specific fill requirements across multiple mask levels all have been introduced at recent nodes.  For block composition flows at successive nodes, each cell library may have rules that define new constraints on cell placement, pin access routing, and power distribution/gating.  Reaching tool/flow production certification is no mean feat.

Additionally, new process nodes (and their application markets) may necessitate the introduction of completely new flows:

  • an “aging flow” that integrated the effects of NBTI, PBTI, and HCI into a measure of performance degradation over time, using new device aging models
  • a local heating flow that reflects how the unique thermal dissipation paths in FinFET-based designs impact chip failure mechanisms (especially electromigration)

N7/N6/N5/N3

  • full EDA tool certification, for both custom IP design and cell-based block composition, for all nodes (N5:  v0.9 PDK;  N3:  v0.1 PDK)
  • EDA “utility” certification (e.g., fill algorithms)

(Cliff’s certification charts focus on tool offerings from the major EDA Partners.)

N6 is a variant of N7, offering a yield improvement (fewer mask layers) and the ability to achieve a logic block density improvement using an optimized N6 high-density cell library.

  • N7 automotive platform flows and IP ready (AEC-Q100 Grade 1)
  • N5 automotive platform in 2022 (Grade 1)

Note that there are two common reliability qualification designations for the AEC-Q100 automotive platform, both based on zero fails after 1K hours HTOL stress test on sampled lots, plus HAST and temperature cycling endurance tests:   Grade 1:  -40C to 125C;  Grade 0:  -40C to 150C  (for “under the hood” applications).

When describing the (Grade 1) qualification activity for N7 and N5, Cliff highlighted some of the additional design enablement considerations for the automotive platform:

  • a “low DPPM” Design Rule Manual and DRC runset
  • aging model qualified for the automotive part lifetime and operating temperature
  • automotive platform-specific EM rules
  • automotive platform-specific latchup and ESD design rules
  • soft error upset analysis

Since the automotive “defect parts per million” shipped criterion is stringent, a specific set of DRC rules at the node is employed.

The demand for high-throughput, low power computation in the vehicles of the future is great , and must also meet the AEC-Q100 qualification criteria (Grade 1).  The TSMC design enablement team is extending the technology definition, design rules, models, and Foundation IP evaluation to provide this support at advanced process nodes.

N12e

At the Symposium, TSMC introduced a new ultra low power N12FFC+ variant, denoted as N12e.  This process is specifically designed for IoT (and AIoT, or AI at the edge) applications, offering a transition from N22ULL (planar) to N12e (FinFET).

  • N12e EDA tools certified (major new features added, listed below)

The design enablement for N12e is faced with the challenges of:

  • analyzing and modeling layout dependent effects (LDE), where device impacts are magnified at low VDD
  • developing SPICE models valid for VDD=0.4V
  • providing statistical device model support valid for low VDD operation
  • providing cell characterization, delay calculation, and static timing analysis support valid for low VDD operation;  specific focus is required for flip-flop setup/hold measures at low VDD

(At low supply voltage, the cell delay arc statistical variation is decidedly non-Gaussian, due to the “near Vt” operation.)

Advanced Packaging:  SoIC, InFO, CoWoS  (3D Fabric) 

With the rapid growth of 2.5D and 3D packaging options, the TSMC Design Enablement team has expanded their scope to include the appropriate physical verification and electrical/thermal analysis EDA flow support:

  • redistribution Layer (RDL) routing and through via routing rules (through CoWoS silicon interposer or InFO wafer compound)
  • routed interconnect impedance matching and shielding requirement (e.g., on a CoWoS interposer, to support wide bus width connectivity to HBM stacks)
  • die-to-die bond rules (SoIC)
  • LVS verification throughout the 2.5D/3D package connectivity
  • RC and RLC parasitic extraction for a complex package geometry – especially, inter-die coupling capacitance for SoIC
  • IR and EM analysis of the power distribution network throughout the package assembly
  • signal integrity analysis
  • thermal analysis – especially, through 3D stacked die
  • ESD analysis

EDA tools are ready for SoIC (3D), InFO and CoWoS (both 2.5D), with the following exceptions, as new flows need to be certified:

  • large (>>1X max reticle size) multi-die floorplan package “warpage analysis”  (available for InFO and CoWoS in 4Q20)
  • static timing analysis for stacked die in an SoIC, with temperature/voltage distribution and “multi-corner” process variation between die (available 4Q20)

 

The TSMC Design Enablement team continues to provide EDA tool and reference flow support for the challenges introduced by advanced process nodes, ranging from new aging models to timing/electrical analysis at low VDD operation.  The 2.5D and 3D package technology offerings require a close collaboration between TSMC and EDA developers to address new requirements – e.g., unique package interconnect/via design rules, stacked die timing analysis.

As mentioned above, TSMC’s focus on design enablement distinguishes their process and package technology offerings.

For more information on the TSMC Design Enablement support for the OIP Partners and platforms, please follow these links – OIP and Technology Platforms.

-chipguy

Highlights of the TSMC Technology Symposium – Part 1

Highlights of the TSMC Technology Symposium – Part 2

 


Dolphin Design – Delivering High-Performance Audio Processing with TSMC’s 22ULL Process

Dolphin Design – Delivering High-Performance Audio Processing with TSMC’s 22ULL Process
by Mike Gianfagna on 09-07-2020 at 10:00 am

Dolphin Design – Delivering High Performance Audio Processing with TSMCs 22ULL Process

TSMC held their very popular Open Innovation Platform event (OIP) on August 25. The event was virtual of course and was packed with great presentations from TSMC’s vast ecosystem. One very interesting and relevant presentation was from Dolphin Design, discussing the delivery of high-performance audio processing using TSMC’s 22ULL process through their computing platforms and subsystems.

The OIP event followed TSMC’s Technology Symposium, which was held the day before. I’ve heard from more than one person that these virtual events were well produced, easy to follow and had the added advantage of not needing to get up at the crack of dawn to get a parking spot and a good seat. Virtual events are clearly the new normal.

Dolphin’s presentation began by discussing the business trends for AI applications in audio markets. This was followed by a discussion of ultra-low power (uLP) audio processing, an application use case and an overview of Dolphin’s platforms for audio processing. I’ll provide some highlights of each section of their presentation here.

Business Trends in AI Audio Markets

This section began by pointing out that voice is the easiest form of a user interface. This includes the following properties:

  • Intuitive
  • Quick and accurate
  • No contact
  • Straightforward
  • Easy integration

Voice-enabled devices need to address several technical challenges, including:

  • Voice detection
  • Keyword spotting
    • Voice pickup & noise reduction
  • Speaker separation
  • Active noise control
  • Speech recognition
  • Low power

So, voice-enabled devices represent the next revolution for user experience. The opportunity is to provide power optimized, local AI processing for things like speech recognition, wake-word detection and voice detection. Local processing will deliver better latency, lower cost and improved privacy since voice data is not sent to the cloud.

uLP Voice Detection and Keyword Spotting

Dolphin Design provided some very good detail on the benefits of their IP and associated platforms for voice detection. You can also see Tom Simons’s post on Dolphin Design and voice detection here. The figure below illustrates the high-performance and ultra-low power audio processing they can deliver for voice detection.

The Dolphin approach for voice detection provides the following benefits:

  • Stand-alone IP embedding a smart algorithm to detect voice activity
  • Automatic tuning of detection algorithms to the level of background noises
  • Short detection latency to avoid the need of buffering the audio stream
  • Ambient noise sensing for optimal adaptation of the key word spotting (KWS) algorithm to environmental conditions

A typical record lifetime of systems with a 25 mAh battery is ~5 hours without Dolphin technology and ~38 hours with Dolphin technology.

For keyword spotting, Dolphin Design can also deliver high-performance and ultra-low power audio processing using their MCU subsystem as shown in the figure below.

Using Dolphin’s CHAMELEON MCU subsystem yields the following benefits:

  • Up to 80x power reduction
  • Bringing KWS in µW range
  • No need for accelerator
  • Enables faster inference
    • for multiple speakers
    • for beamforming
    • still in mW range

 

Application use case: True Wireless Stereo (TWS) Earbuds

An example application for TWS earbuds was presented. Several Dolphin Design platforms and subsystems were used in this application. The benefits of each of these capabilities can be summarized as follows:

  • CHAMELEON MCU Subsystem
    • Compatible with main MCU
    • High bandwidth through low latency interconnect
    • Tiny ML accelerator with 32 MAC/cycle
    • <20 µA/MHz & 2µA deep sleep in TSMC 22uLL
  • BAT Audio Platform
    • Up to 768 kHz sample rate
    • Less than 7us analog to analog latency
    • Up to 8 analog and digital mic inputs
    • I2S/AHB data interface & I2C/APB control interface
  • SPIDER Power Management
    • Customizable & tailored power network
    • Standardized & predictable power management
    • 250 nA quiescent DCDC
    • 150 nA quiescent LDO
  • PANTHER DSP
    • Up to 64 MAC/cycle
    • Up to 16 cores scalability
    • Standard AXI interface
    • Enhanced SIMD DSP, NN instructions

Dolphin Design Platforms for Audio Processing

The following diagram summarizes Dolphin Design platforms and their capabilities in the field of audio and processing applications.

Dolphin summarized how they are delivering high-performance audio processing with TSMC’s 22ULL processes follows:

  • Audio/Voice markets will be dominant AI market in coming years
    • Smart Sensors approach will be the driving force
  • Dolphin Design has a long experience in Audio Codecs
  • New platforms will enable Voice User Interface
    • uLP speech recognition for enabling the voice-control world
    • Open platform as a design Backbone reusable for multiple projects, multiple processes, multiple processor vendors
    • Reduce key expertise bottlenecks
    • Faster TTM thanks to ready-to-use audio platform

You can learn more about the platforms and systems available from Dolphin Design here


Highlights of the TSMC Technology Symposium – Part 2

Highlights of the TSMC Technology Symposium – Part 2
by Tom Dillinger on 09-07-2020 at 8:00 am

3D Fabric

Recently, TSMC held their 26th annual Technology Symposium, which was conducted virtually for the first time.  This article is the second of three that attempts to summarize the highlights of the presentations.  This article focuses on the TSMC advanced packaging technology roadmap, as described by Doug Yu, VP, R&D.

Key Takeaways

  • SoIC (3D) multi-die integration will benefit from continuous process improvement on die bond pitch, driven by the areal density scaling of N7, N5, and N3.
  • The “back-end, die-first” InFO (2.5D) technology is being enhanced to embed a Local Silicon Interconnect (LSI) bridge, denoted as InFO-L.
  • The “back-end, die-last” CoWoS (2.5D) technology is also expanded to include a LSI bridge, embedded in an organic substrate (replacing the traditional silicon interposer).  CoWoS-L will offer a cost-effective method to integrate multiple die with memory stacks.
  • InFO offerings are being enhanced to support larger assemblies, with RDL interconnects spanning >1X max reticle size.  Similarly, CoWoS interposer dimensions will support >>1X max reticle size.
  • The full complement of SoIC, InFO, and CoWoS offerings have been incorporated into the TSMC “3D Fabric” product family, in anticipation of future system-level assemblies integrating both the 3D and 2.5D packaging technologies.

Introduction

Doug’s presentation covered the pillars of TSMC’s advanced packaging options:

  • the “front-end” SOIC die-to-die attach technology
  • the “back-end, chip-first” InFO (Integrated FanOut) technology
  • the “back-end, chip-last” CoWoS (Chip-on-Wafer-on-Substrate) technology

As will be discussed shortly, Doug announced several unique enhancements to the back-end options.

TSMC has grouped both the front-end and back-end options into a single package development roadmap, denoted as “3D Fabric”.  The last section of this article will illustrate how both these FE and BE technologies can be combined, into a complex 3D package solution.

SoIC

Background

SoIC technology enables direct die-to-die attach, using thermo-compression bonding between pads – here’s an earlier article that describes this process:  link.

Both face-to-face and face-to-back orientations are supported.  The face-to-back topology utilizes through silicon vias (TSVs) to provide the bonding pads.  TSVs also enable the addition of microbumps for subsequent package substrate attach.

(There is a variant of this technology that enables a highly efficient assembly flow, in the specific case where both die share the same footprint – “WoW’, for Wafer-on-Wafer.)

There are opportunities for integrating multiple die at the same level to the underlying base die (as in the figure above), as well as the capability to develop a vertical stack of thinned die.  The latter configuration is commonly used to construct high-bandwidth memory (HBM) stacks, with several memory die on top of a memory controller as the base.  Doug referenced a recently TSMC technical presentation illustrating a 12-high HBM stack (total thickness ~60um), utilizing the SoIC bonding technology.  (Reference:  Tsai, C.H., et al., “Low Temperature SoIC Bonding and Stacking Technology for 12/16-Hi High Bandwidth Memory (HBM)”, VLSI 2020 Symposium, Paper TH1.1.)

Advanced SoIC Development

  • thermal R (Tr)

An area of focus for SoIC development is the thermal resistance of the bond connections – it is critical that the heat generated within the die stack have a low Tr path to the package.  Doug’s presentation highlighted the continuous process improvement (CPI) activity that has reduced the bond Tr by ~25%.  (Similar CPI attention has been placed on reducing the Tr for microbump connections as well, by ~18%.)

  • bond pitch

Another major focus area is to scale the SoIC bond and TSV pitches, in conjunction with the areal density scaling of successive process nodes.  (If the bond and TSV pitch didn’t scale, that would adversely impact the realized density gains from migrating to the next node.)  Doug indicated the minimum bond and TSV pitches will indeed transition from 9um (N7) to 6um (N5) and to 4.5um (N3).  Doug also shared experimental data illustrating sub-um bond pitch reliability, for future node scaling.

Clearly, front-end SoIC packaging technology development is receiving considerable R&D investment.

InFO

Background

The Integrated FanOut technology utilizes a “back-end, chip-first” package assembly process.  As described in the earlier article mentioned above, InFO selects known good die and encapsulates them in a “reconstituted” wafer of an epoxy molding compound.

This enables the addition and patterning of dielectric and interconnect layers on top of the molding compound wafer to utilize existing fab equipment.  These interconnects, along with the final pattern of metal to the package attach microbumps, are collectively described as the redistribution layers (RDL).

As will be described shortly, TSMC is introducing alternative InFO technologies – the traditional InFO assembly with redistribution layers is now denoted as InFO-R.

There are other existing InFO designations – e.g., InFO-AIP with “antenna-in-package”, and InFO-PoP with “package-on-package”.  InFO-PoP integrates a chip stacked on top of the InFO assembly, whose microbumps attach to through-InFO vias (TIVs) in the molding compound to the RDL layers – see below.

The focus of the InFO package development presented at the Symposium was on enhancements to InFO-R, and a new InFO topology.

InFO-R Development

  • increasing reticle size

To enable greater flexibility in multi-die integration, TSMC has begun offering InFO assembly – e.g., die placement, encapsulation, and (specifically) RDL patterning – that exceeds the maximum photolithography reticle size.  The CoWoS technology has offered interconnect patterning on the silicon interposer that exceeds the 1X reticle size limit for some time;  this technique has recently been extended to InFO.  (1X maximum reticle size:  ~33mm x 26mm.)

Support for an InFO 1.7X reticle-size assembly will be available in 4Q20, with 2.5X in 1Q21 (qualified on a final package of 110mm x 110mm).  It is evident that there is significant customer demand for a low-cost package technology for ever-increasing multi-die configurations.

  • RDL interconnect

Key parameters for InFO-R are:  the die pad pitch to the RDL layers (40um), the RDL pitch (2um L/2um S), and the number of RDL layers (3).

Recently, TSMC R&D published an article describing development of sub-micron L/S patterning – more die in a large InFO-R assembly will require greater interconnect routing density.  (Reference:  Pu, et al., IEEE ECTC, 2018, p. 45-51.)

InFO-L

As mentioned above, the RDL line/space pitch is a key characteristics of the multi-die InFO assembly.  Yet, this dimension is limited by the processes available for the deposition, patterning, and curing of the organic dielectric and metallization used for the RDL layers.

To enable greater die-to-die routing capacity, TSMC is introducing a Local Silicon Interconnect (LSI) “bridge chiplet” embedded within the RDL assembly on top of the encapsulated die.  Compared to the baseline InFO-R technology, the embedded silicon bridge in InFO-L offers:

  • 25 um die pad pitch for LSI connectivity (versus 40um)
  • 0.4um/0.4um L/S (versus 2um/2um)
  • 4 metal layers (using TSMC’s “Mz” metal thickness process module)
  • InFO-L will be qualified in 1Q21, on a 1X reticle-size assembly

InFO-SoIS

The typical package substrate used with InFO-R provides connectivity from the InFO bumps to the package BGA balls, with limited interconnect layers within the substrate.   At the Symposium, TSMC shoed a unique variant of InFO-R, where the substrate consists of a composite of organic layers, providing 14 metal interconnect planes.  This demonstration of a “System-on-Integrated Substrate” may evolve to production status for a large-area, multi-die InFO-R assembly requiring more connectivity to BGA balls.

CoWoS

Background

The back-end, chip-last assembly known as Chip-on-Wafer-on-Substrate (CoWoS) technology has traditionally used a silicon interposer as the intermediate-level interconnect substrate for multi-die integration.  This option has been the mainstay for system implementations with an array of processor die, typically with multiple HBM memory stacks.

  • reticle size

Over the years, CoWoS technology development has focused on supporting increasing silicon interposer dimensions.  TSMC will be expanding the interposer size to 3X max reticle (2021) and 4X max reticle (2023), to support model processors and HBM stacks in the overall package.

  • improved interposer electrical characteristics

CoWoS process R&D has enabled the following enhancements:

– up to 5 Cu metal layers

– lower sheet resistivity (improving by 3X in 1H21)

– embedded capacitors

The traditional CoWoS topology with silicon interposer is now designated as CoWoS-S, to differentiate from the new configurations that Doug presented at the Symposium.

CoWoS-L

A new chip-last offering was introduced – CoWoS-L.  Like the embedded LSI interconnect bridge added to the InFO offering, a similar configuration is being added to the CoWoS assembly.  The silicon interposer is replaced by an organic substrate with an embedded LSI chiplet, offering interposer-like interconnect signal density in a more cost-effective assembly.

CoWoS-L plans are to provide:   1.5X reticle size (1 die, 4 HBM2E stacks), currently in production;  3X reticle size (3 die, 8 HBM2E stacks), in 2Q21.

Full Front-End (3D) and Back-End (2.5D) Integration

The 3D Fabric product initiative envisions a combination of (SoIC + InFO) and (SoIC + CoWoS) assemblies.  A multi-die, multi-tiered SoIC could be integrated as part of a (chip-first) encapsulated InFO offering.  An example is illustrated below of an SoIC integrated as part of a (chip-last) CoWoS assembly.

The full 3D Fabric offering is illustrated below.

In the 3D Fabric collection, note that there is also a CoWoS-R variant shown – a chip-last assembly on an organic substrate with RDL layers and no embedded LSI bridge.  Given the large number of wires required in the typical CoWoS die plus HBM stack topology, the embedded LSI bridge of CoWoS-L is likely required.  Here’s a cross-section of CoWoS-R.

TSMC has made a major investment in advanced packaging development – SoIC, InFO, and CoWoS have become an integral part of system architecture definition.  Increasingly, architects will need enhanced “pathfinding” tools to assist with the myriad of performance, power, area/volume, signal integrity, power delivery, thermal dissipation, reliability, and cost tradeoffs.

For more info on the full suite of 3D Fabric offerings, please follow this link.

-chipguy

Highlights of the TSMC Technology Symposium – Part 1

Highlights of the TSMC Technology Symposium – Part 3


In-Chip Monitoring Helps Manage Data Center Power

In-Chip Monitoring Helps Manage Data Center Power
by Tom Simon on 09-07-2020 at 6:00 am

in-chip sensing

Designers spend plenty of time analyzing the effects of process, voltage and temperature. But everyone knows it’s not enough to simply stop there. Operating environments are tough and have lots of limitations, especially when it comes to power consumption and thermal issues. Thermal protection and even over-voltage protections have been in chips for many years. However, there is more at stake than just preventing failures. It’s necessary to tune the operation of SoCs so they have long life and lower cost of operation, plus they need to stay within the limits of the cooling systems used in the facilities where they are located. In-chip monitoring can help manage power consumption and thermal issues.

This was a topic at the recent TSMC OIP event. Stephen Crosher, CEO of Moortec, a provider of IP for in-chip monitoring, presented on the topic of “Challenges of N5 HPC and Hyperscaling within Data Centers.” Small savings at the chip level in power consumption and heat generation translate into meaningful results when scaled up. Stephen points out that hyperscale data centers can have in the order of millions of SoCs.

Data centers already consume 1-2% of all electricity produced globally. Chinese data centers alone over the next 5 years are expected to use as much electricity as all of Australia. Data center traffic and workloads are expected to rise by 80% over the next 3 years.

The only way to effectively manage power is to design in feedback systems to manage SoC operation so that they minimize the power. The first step in doing this is to ensure there is accurate and complete information about all three of process, voltage and temperature. With the right kind of in-chip monitoring capabilities many things can be done inside of an SoC to respond to each of these conditions.

Stephen provides examples of how tightening the voltage monitoring precision at the terminus of the supply nets from 5% to 1% can reduce supply guard banding and reduce power by ~10%. Multiplied across millions of chips, fractions of a penny per hour per chip translate into savings of millions of dollars per year. Likewise, for thermal management, more accurate sensors can prevent premature device throttling. Moortec’s ‘out-of-the-box’ high accuracy sensors can help avoid unnecessary throttling when compared to more alternative +/- 5% sensors, especially with considering that Moortec sensors can achieve even high accuracies if calibration can be accommodated in production test.

N5 is an appealing process for high performance chips. It offers around a 15% speed improvement along with an 80% greater logic density. It also reduces power consumption. However, at the same time the power density per square mm is going up. So dynamic voltage and frequency scaling will increasingly be important for managing energy consumption and thermal behavior. Stephen points out that for every watt saved on-chip, there is a commensurate reduction in facility cooling costs. Hyperscale data centers spend 40% of their operating costs on cooling, so there is even more incentive to lower server power use.

The future of in-chip monitoring looks very interesting with telemetry facilitating reporting and analysis. Some of the benefits could include enhanced device screening, power optimization, increased performance and extended reliability. Many of the benefits can go beyond large data centers and find their way into automotive, consumer and other applications. Moortec has been developing in-chip monitoring solutions since 2010 and have ample experience on a wide range of process nodes, including the most advanced. The presentation was eye opening as far as the impacts of chip level optimizations on facility, enterprise and even worldwide economies and environmental impact.


Highlights of the TSMC Technology Symposium – Part 1

Highlights of the TSMC Technology Symposium – Part 1
by Tom Dillinger on 09-04-2020 at 8:00 am

A72 core high density

Recently, TSMC held their 26th annual Technology Symposium, which was conducted virtually for the first time.  This article is the first of three that attempts to summarize the highlights of the presentations.

This article focuses on the TSMC process technology roadmap, as described by the following executives:

  • Y.J. Mii, SVP, R&D:  “Advanced Technology Leadership”
  • Kevin Zhang, SVP, Business Development:  “Specialty Technology Leadership”
  • Y.P. Chin, SVP, Operations:  “Manufacturing Excellence”

Key Takeaways

  • The N7 to N5 to N3 process node cadence continues on an aggressive schedule, with each transition offering a full-node areal scaling.
  • N3 will utilize a traditional FinFET device architecture.
  • The new node N12e introduces an ultra-low power offering  – the cell library VDD is reduced to 0.4V.
  • The availability of alternative non-volatile memory technologies (RRAM, MRAM) offers continued scaling of applications requiring embedded NVM memory (eFlash).  The availability of (high-endurance, SRAM-like) MRAM provides very interesting memory cache system design opportunities.
  • TSMC is planning a huge R&D investment for technology development past N3.

N7, N5, and N3 Roadmap

N7 entered high volume manufacturing (HVM) in 2018, at Fab 15.  TSMC provided a forecast for more than 200 N7/N7+ new tapeouts (NTOs) in 2020.

Recall that the initial N7 process definition did not incorporate EUV lithography – the subsequent N7+ process added EUV as a replacement for a few critical-dimension layers.   Node N6 will offer a logic density boost (~18%) over N7, using a block-level physical re-implementation flow with a new Foundation IP library – e.g., mask layer reduction, CPODE cell abutment.

The next node, N5, entered HVM in 2Q2020, at Fab 18 in Tainan.  EUV lithography has been applied extensively.   (Fab 18 broke ground in January, 2018, with equipment move-in a year later – this is an extremely impressive ramp from fab construction to HVM, especially with EUV litho.)

A future N5+ variant will provide a ~5% performance boost, with HVM in 2021.  Node N4 is a mid-life kicker to N5, with a mask layer cost reduction (while maintaining design rule capability to existing N5 IP).  Risk production for N4 is 4Q21, with HVM in 2022.

N3 is well-defined, with EDA vendors already providing design enablement flows and with IP in active development – risk production is planned for 2021, with HVM in 2H22.

TSMC provided two charts to illustrate the PPA comparisons between these nodes.  The first depicts the comparisons for an Arm A72 core.  Recall that TSMC has focused their Foundation IP development and EDA enablement for different platforms – the comparison below utilizes the high-density based physical implementation flow associated with the Mobile and IoT platforms.

The high-performance platform (HPC) comparison for N7, N5, and N3 is shown below, using the physical implementation of the Arm A78 core as the reference.

The way to interpret these curves is that a horizontal line represents the performance gain at iso-power, which the vertical line depicts the power gains at iso-performance.

In both cases, the N7 to N5 and N5 to N3 transitions incorporate a full-node areal density increase, although it should be noted that the SRAM IP and analog density scaling factors are less.

N12e

The IoT and mobile platforms are driven by the need for ultra-low power dissipation, achieved through supply voltage reduction and the availability of ultra-low leakage (ULL, high Vt) devices.  Additionally, an ultra-low leakage SRAM bit cell offering is needed.  Also, a new class of applications – AIoT, or Artificial Intelligence of Things – is emerging for the edge-centric, ultra-low power market.

TSMC introduced a new process designation, N12e, specifically to address these requirements – working from the N12FFC+ baseline, N12e is currently in risk production status.  The N12e offering includes several key characteristics:

  • cell library IP operating at VDD = 0.4V
  • significant focus on statistical process control, to minimize device variation
  • 0.5X power (@ iso-performance) compared to N22ULL
  • 1.5X performance (@ iso-power) compared to N22ULL

The application of VDD=0.4V necessitates focus on the EDA flows for delay calculation/static timing analysis and coupled noise electrical analysis – the status of the EDA enablement for N12e will be covered in a subsequent article.   (Please refer to:  http://n12e.tsmc.com.)

RF Roadmap

To support the rapidly growing 5G market, TSMC has maintained focus on RF CMOS process development, striving for enhanced device characteristics.  The current RF offerings are based on the N28HPC and N16FFC processes.

The new RF roadmap introduced N6RF, with significantly improved power and device noise factor (NF, @5.8GHz) over current devices.   Design kit enablement for N6RF will be released in 2Q21.

Non-volatile memory (NVM) Roadmap – eFlash, RRAM, and MRAM

TSMC’s current embedded flash memory IP for the N28HPC (HKMG) node is being qualified for the automotive design platform (i.e., endurance cycles and data retention at 150C) – target date is end of 2020.

For process nodes after N28, scaling of floating gate-based flash memory becomes more difficult (expensive).  The NVM roadmap transitions to Resistive (filamentary) RAM, with N22 tapeouts this year (at 125C, non-automotive grade).  Magneto-resistive RAM (MRAM) is also available for N22 tapeouts, with automotive grade qualification in 4Q20.  Further, N16 MRAM IP will be available for risk production in 4Q21.

Initially, RRAM and MRAM technologies will be used as IP replacing eFlash applications – e.g., 10K+ endurance cycles.  TSMC indicated an “SRAM-like” MRAM IP offering for N16 will be available in 4Q22 – clearly, significant focus is being applied to increase MRAM endurance.  MRAM as a non-volatile, high-density L3/L4 SRAM-replacement memory cache will offer some very unique system architecture design opportunities.

Advanced process node fab capacity

To support the demand for nodes N16 to N5, 300mm wafer Gigafab capacity has experienced a CAGR of 28% from 2016 to 2020.  The fab capacity for N7 alone has grown 3.5X in just over two years, from 2018 to 2020.  Additionally, the capacity for N5 is planned for 3X growth from today to 2022.

Y.P. Chin highlighted that EUV learning from N7+ and N5 has enabled an extremely aggressive improvement in defect density (D0).  For example, refer to the innovation that TSMC has deployed for EUV mask cleaning:  link.

There are also major expansion plans for the Advanced Packaging line facilities in Tainan.

R&D Investment

A consistent theme through the presentations was the extensive investment TSMC is making in future technology R&D.   Specifically, TSMC is building a new R&D Center in Hsinchu, as depicted below.  The goal will be to enable “thousands of engineers” to work on new transistor architectures, materials, and process flows required for the nodes after N3, and “for the next twenty years” – more on these initiatives shortly.

Construction of the R&D center got underway in 1Q20, with occupancy starting in 2021.

Adjacent to the new R&D center, TSMC illustrated new fab construction in Hsinchu specifically designated for the “N2” process node.  Like the other TSMC Gigafabs – e.g., Fab 12, Fab 15, Fab 18, — the N2 fab construction will evolve in multiple phases.

The planned investment in R&D and fab deployment for “N2 and beyond” is definitely impressive.

Future Technology R&D

TSMC provided a glimpse into some of the future technologies currently being investigated, as the R&D activity continues to ramp.

  • RC enhancements

FinFET devices offer significant benefits in areal drive current and subthreshold leakage electrostatic channel control over planar devices – yet, one of the disadvantages is the additional Cgs and Cgd parasitic capacitance from the gate traversal over the fin(s) and the raised source/drain plus M0 metallization.  TSMC will be introducing an air-gap process in the dielectric between gate and source/drain to reduce these parasitics.

Additionally, interconnect R*C delays will be improved with the introduction of a new via trench barrier process.

  • EUV litho development

To enable aggressive lithography scaling for pitches less than 80nm using 193i illumination, TSMC introduced mask data decomposition (“coloring”) at the N20 node.  Double and quad multipatterning (SADP or 2P2E, and SAQP) have enabled further scaling.   Inverse lithography technology (ILT) algorithms, as part of a source-mask optimization (SMO) mask data preparation methodology, was also deployed.   13.5nm EUV lithography was introduced for N7+, as mentioned above.  To enable further scaling, EUV multipatterning (2P2E) is required.

TSMC showed lithographic patterning/etch in support of an 18nm interconnect pitch.

  • high NA EUV

The numerical aperture of a lithography system defines the resolution capability, a function of the cone of light captured  and the refractive index of the entire lens system.  The resolution is inversely proportional to the NA.  TSMC is working closely with ASML on the next generation of “high NA” EUV equipment and corresponding resist technology, to enable finer resolution in future nodes.

  • GAA nanosheets

TSMC highlighted their R&D efforts to implement gate all-around nanosheets, as a FinFET replacement.

The N3 process definition starts with a conventional FinFET device.  (To achieve increased performance and fin pitch, the fin height and aspect ratio for N3 will need to be improved.)

As has been the case for TSMC node transitions, adhering to the roadmap schedule has been a paramount priority.  Y.J. Mii said, “After carefully evaluating customer needs and technology maturity, N3 continues to use FinFET devices.  Our R&D team has extensive experience with nanowire and nanosheet technology, and have demonstrated 32Mb SRAM testsite yield.  We will have the technology options for each new node ready in advance – the right technology at the right time.”  It will be interesting to see how GAA device architectures evolve.

  • unique “2D” device semiconducting channel material

TSMC referred to a technical paper published earlier this year, showing promising results for a replacement to the Si (or SiGe) FinFET device.  Semiconducting “monolayers” of MoS2 serve as the (planar) field-effect device channel, offering improved carrier mobility.  (Reference:  A.S. Chou, et al., VLSI Symposium 2020).

The figure below illustrates a single monolayer of MoS2, the HfO2 gate dielectric, and either a (large area) Si or a local Pt “back gate” device structure.  The device drive current and Ion/Ioff ratio shows great promise – reducing the contact resistance (Rc) from the S/D metal to the semiconducting layer is a key process development challenge.

  • carbon nanotubes

TSMC also referred to a recently published paper illustrating the implementation of a deposited layer of carbon nanotubes (CNT) for a unique application.  The nanotubes were incorporated as part of the (low temperature-restricted) back-end-of-line flow in N28, with patterning of gate and source/drain metallization.  The specific application for which these devices are targeted is for the logic circuit power-gating “header”.  (Reference:  Cheng, et al, IEDM, 2019, paper 19.2)

Current power-gating implementations utilize multiple silicon devices (low R) connected between the “always on” and switched power rails connected to the block logic.  These designs require unique block-level physical design, specific cell library images, and modified (global/local) power distribution networks, adversely impacting areal circuit density and routability.  A semiconducting CNT power gating circuit could offer a significant PPA boost – ongoing focus on reducing the overall series “on” resistance will be key.

As an aside, it is perhaps unwise to read too much into the R&D part of the Symposium presentations, in terms of what was and was not mentioned for post-N3 architectures.  Nevertheless, the following options being widely investigated within the semiconductor industry were not discussed:  negative-capacitance FETs (NC-FETs, integrating ferroelectric materials), vertical nanowires (VNW), tunnel FETs, or N3XT (“full 3D” die integration of logic, memory, and NVM).

Look for subsequent articles highlighting TSMC packaging technology and design enablement presentations to follow.

-chipguy

Highlights of the TSMC Technology Symposium – Part 2

Highlights of the TSMC Technology Symposium – Part 3