Webinar: Mastering the Art of Managing IP, Chiplets, and Design Data

Online

Overview Title: Mastering the Art of Managing IP, Chiplets, and Design Data Date: Wednesday, November 1, 2023 Time: 10:00 AM Pacific Time Duration: 30 minutes (+15 minutes live Q/A) Join us on Wednesday, November 1st, for an eye-opening exploration of the inadequacy of common design data and IP management capabilities in the face of today’s intricate semiconductor chip designs. Discover …

Webinar: Automated Constraints Promotion Methodology from IP to SoC Designs for Complex Designs

Online

IP cores require integration into top-level subsystems and/or SoCs. Writing constraints manually for top level design is prone to errors and difficult to verify and manage. This Synopsys webinar will cover how automated SDC constraints promotion from the IP to SoC level provides high-quality SDC using Synopsys Timing Constraints Manager relative to manual time-consuming approaches. We will …

Silvaco UseRs Global Events (SURGE) – Korea

Online

Silvaco UseRs Global Events (SURGE) bring together users, developers, and industry experts of the EDA, IP, and TCAD communities to understand new semiconductor technologies, innovative applications, and techniques for realizing advanced designs. Presentations A variety of presentations will cover semiconductor device simulation, circuit design and verification, and IP design. Roadmaps and exciting technology updates will …

Silvaco UseRs Global Event (SURGE) 2023 – EMEA

Online

Silvaco UseRs Global Events (SURGE) bring together users, developers, and industry experts of the EDA, IP, and TCAD communities to understand new semiconductor technologies, innovative applications, and techniques for realizing advanced designs. Presentations A variety of presentations will cover semiconductor device simulation, circuit design and verification, and IP design. Roadmaps and exciting technology updates will …

Silvaco UseRs Global Event (SURGE) 2023 – Taiwan/Singapore

Online

Silvaco UseRs Global Events (SURGE) bring together users, developers, and industry experts of the EDA, IP, and TCAD communities to understand new semiconductor technologies, innovative applications, and techniques for realizing advanced designs. Presentations A variety of presentations will cover semiconductor device simulation, circuit design and verification, and IP design. Roadmaps and exciting technology updates will …

Webinar: Auto-generation of Verification Infrastructure for IP to SoC

Online

DVClub Europe Meeting –November 2023 Agenda (BST): 12.00 GMT - Welcome and Introduction Mike Bartley,Tessolve 12.00 GMT - Saving Development Time by Automating Verification infra from specifications Anupam Bakshi, Agnisys 12.30 GMT - Generation of Functional Coverage for RISC-V Processor Verification Larry Lapides, Imperas Software Ltd. 12.45 GMT - Breker 13.00 GMT - Close About …

IP-SOC Conference 2023

Online

IP SoC events have as goal to be the premier worldwide meetings between IP (Silicon Intellectual property) providers and IP consumers Like previous years IP SoC Days will be held all around the world in a single hotel large room comprising demo tables in the back to facilitate live exchanges. Filtered audience can freely attend …

Silvaco UseRs Global Event (SURGE) 2023 – China

Online

Silvaco UseRs Global Events (SURGE) bring together users, developers, and industry experts of the EDA, IP, and TCAD communities to understand new semiconductor technologies, innovative applications, and techniques for realizing advanced designs. Presentations A variety of presentations will cover semiconductor device simulation, circuit design and verification, and IP design. Roadmaps and exciting technology updates will …

Webinar: Comprehensive PCIe Verification Solution for bleeding edge and mission critical SoC & IP Designs

Online

Applications such as Data Centers, High-Performance computing (HPC), artificial intelligence/machine learning (AI/ML), cloud computing, military, and aerospace, automotive, etc. are all extremely Bandwidth-hungry. To cater to such high demands of high speeds and bandwidth requires a breakthrough that HPC SoCs are constantly facing. High speed interfaces like PCI Express® (PCIe®) 5.0 and 6.0 show promising …

Tensilica IP Seminar

Online

Date and time: January 30, 2024 (Tuesday) 13:00-14:20 Sponsor: Japan Cadence Design Systems Innotek Co., Ltd. IC Solution Headquarters Cost: Free Venue: Online (Zoom webinar) *You can also participate from a web browser. We recommend using Google Chrome, Firefox, or Chromium Edge. Registration deadline: January 29th (Monday) 16:00 With the recent spread of AI technology, …

Webinar: The Perfect Duo: Chiplet Design Meets Modern Data & IP Management

Online

In a world where the chiplet market is projected to soar to $50.5 billion in revenue by 2024, staying ahead of the game is crucial. This monumental shift in the IC design ecosystem necessitates a forward-thinking approach to navigate the sea of data and intricate Intellectual Properties (IPs) securely. That's why Keysight has expanded its …

Webinar: Addressing UCIe 1.1 IP and System Level Verification Challenges

Online

Thursday, February 8, 2024 | 9-10 a.m. PT The Universal Chiplet Interconnect Express (UCIe) v1.0 standard was introduced in March of 2022 and v1.1 was published in July 2023. There is a huge demand for an open chiplet ecosystem that will unleash innovation across the compute continuum which in turn increases the demand for power …

Agile Analog Technology Showcase Event

The Royal Society of Edinburgh Scott Room 22-26 George St, Edinburgh, United Kingdom

Learn how innovative analog IP can help analog design engineers. Agile Analog is transforming the analog IP industry, with Composa, our configurable, multi-process technology that automatically generates analog IP. We offer a wide-variety of novel analog IP solutions for Data Conversion, Power Management, IC Monitoring, Security and Always-On IPs. Applications include High Performance Computing (HPC), …