DAC2025 SemiWiki 800x100

Moderating Our Open Chiplet Enthusiasm. A NoC Perspective

Moderating Our Open Chiplet Enthusiasm. A NoC Perspective
by Bernard Murphy on 02-14-2024 at 6:00 am

Moderating Open Chiplet Enthusiasm

I recently talked with Frank Schirrmeister (Solutions & Business Development, Arteris) on the state of progress to the open chiplet ideal. You know – where a multi-die system in package can be assembled with UCIe (or other) connections seamlessly connecting data flows between dies. If artificial general intelligence and industrial-scale quantum computing are right around the corner, surely any remaining issues in open chiplet design should be a snap to resolve? According to Frank, the answer is yes and no. For a couple of privileged groups, anything is possible and is being put into practice today. For larger open markets, not so much, at least not in the near term.

Courtesy of Arteris

Multi-die systems and proprietary solutions

Multi-die systems address the never-ending demand to build bigger and more complex systems (for LLM processing as one example) when constrained by a number of semiconductor limitations: you can only fit so much logic on one die; some functions like analog and DRAM work best in processes which are not optimal for logic; and even if you could somehow fit more onto a single die, yield would plummet and costs would soar.

Within the last year or so, Intel, AMD, and Nvidia all released processor products based on chiplet architectures. What is unique to these products in this context is that these companies each built all their own chiplets, together with the infrastructure and connectivity assembling them into a full multi-die system. They have no dependency on external chiplet providers or external chiplet-to-chiplet communication IP providers. By controlling everything internally, and guiding their suppliers accordingly, they can tune and validate the systems they built in-house against their own extensive suites of tests. Some other very large vertically integrated companies may also fall in this class. I am told that Meta may now be one of these, and I would be surprised if Apple was not also handling all their own multi-die design.

For anyone else wanting to build a multi-die system, this is all interesting but still amounts to a proof of concept. Works very well for Intel, AMD, and Nvidia but more is needed for systems builders who don’t have that level of control. While UCIe (among other options) should, in principle, take care of die-to-die communication, reality suggests the challenge is not yet conquered.

By the way, there is also a parallel trend; Printed Circuit Boards (PCBs) are getting smaller. Here the industry has seen many different types of packaging approaches, and users are used to integrate multiple dies on substrates for designs that don’t challenge the reticle limit mentioned above. Both trends converge on chiplets, albeit with different design methodology approaches – miniaturized PCBs vs. co-designed or interoperable bare pieces of silicon.

Open chiplets and interoperable communications interfaces

In theory, using standards like UCIe for inter-die communication should resolve communication problems between die, essential to enable a true open chiplet ecosystem. If this works as advertised, then chiplets should be able to communicate even if they come from different chiplet vendors, are built in different foundries, etc. Unfortunately, compliance with the standard is proving a necessary but insufficient condition to ensure interoperability between two sides of (say) a UCIe link. While the PHYs can be checked via eye-diagrams, there is still variability in ways to pack data from protocols like AXI and CHI to streaming interfaces like CXS and from there to FDI, UCIe’s streaming interface.

This is not a revelation. In the PC world, wired and wireless communications and other domains, standards compliance is step 1. Plugfests to prove real-world interoperability between vendors is a next step. For cellular communications, network operators require detailed interoperability testing against their requirements. It seems a similar infrastructure is needed for chiplet communications, although that may be a little more challenging because you can’t plug a connector into a chiplet. Frank tells me he hears plans are in the works but are not expected to become mainstream any time soon (it took PCIe a while too). The industry has announced early cases of just UCIe interoperability, between Intel and Synopsys for instance.

One class of systems builders has a simple answer to this problem. They are powerful enough to force their suppliers into converging compliance on their design. If something isn’t working in their use cases, the potentially guilty parties dig down and must come up with a resolution. Some big automotive OEMs are in this class, also some big HPC enterprises. Problems found here are likely to be small differences in expectations for margins, buffering, and other parameters not fully nailed down by the standard. Or just bugs not covered in chiplet/IP vendor use-case testing. Whatever the problem, the suppliers must sort it out. It’s good to be king when you want to build a chiplet-based design.

For everyone else

Getting to interoperability today depends on where each of your inter-die connections falls in the big and constantly evolving matrix of proven/covered communication pairs considering IP/PHY sources, specification differences, and use-case differences (coherent versus non-coherent links). Symmetric pairs (everything the same on both sides) should (?) be fine, but asymmetric pairs are a gamble unless proven in production. According to Frank, this challenge is especially visible from the NoC world. He says customers ask if the Arteris NoC works with a particular UCIe Controller IP. Reasonable question you would think.

But the NoC talks to a protocol to stream converter, which then talks to a PHY. That communicates through a link to a PHY on the second chiplet, then to a stream to protocol converter, then to the NoC on that chiplet. Everyone is fully compliant with the standard, but still the link doesn’t work – unless it has been proven to work in production. Much tighter interoperability testing will eventually solve this problem, but that may be 5 years out. In the meantime, Arteris and customers are filling in cells in the interoperability matrix one (or maybe a few) at a time.

Bottom line, chiplets are real, totally under control for the vertically integrated system builder, evolving rapidly under autocratic customers, and inching forward for everyone else. You can read more HERE.


2024 Outlook with Stephen Fairbanks of Certus Semiconductor

2024 Outlook with Stephen Fairbanks of Certus Semiconductor
by Daniel Nenni on 02-13-2024 at 10:00 am

Certus Official Hires subtext

Certus Semiconductor is a unique company. Their customer centric business model ensures customer success at many levels. Certus is staffed by a team of IO and ESD experts that go above and beyond what you can get from free libraries, protecting your designs and your customers products from the risks of electrostatic discharge.

Tell us a little bit about yourself and your company.
My name is Stephen Fairbanks; I am classically trained as a semiconductor analog and RF circuit designer, specializing in designing and developing process-specific I/O and ESD libraries for over 25 years. I led the development of the ESD and I/O libraries for Intel’s wireless, cellular, and mobile computing groups for many years in the early 2000’s. I have been an ESD and I/O consultant since leaving Intel in 2006 as part of SRF Technologies. In 2009, I established Certus Semiconductor in partnership with Freescale’s I/O and ESD teams and Markus Mergens of QPX. When NXP acquired Freescale, the partnership dissolved, but I maintained the rights to the Certus Semiconductor brand and continued building the business, which has been quite a journey. Certus Semiconductor has expanded its IP offerings to include I/O libraries and ESD solutions in many foundries from 180nm to 11nm, with current research and development into more advanced nodes.

What was the most exciting high point of 2023 for your company?
The year 2023 was a great one for Certus Semiconductor. We were fortunate to sign the most contracts of any year since inception, onboarding several key new customers and signing new contracts with several long-term customers. Aside from the sheer volume of deals, the year’s high point was entering into a partnership with a new foundry to develop foundational IP for key process nodes. While Certus has a long history of developing I/O libraries for foundries, this will be the first time we have built a standard cell low-leakage library and our standard foundational IO Library IP. We’re excited to establish a long-term relationship with a foundry and develop their IP offerings.

What was the biggest challenge your company faced in 2023?
With the growth we experienced in 2023, we faced the challenge that all small businesses eventually have to face: learning how to scale. In years prior, Certus had not taken on so many projects or engaged with so many customers. This growth has led us to expand the team and improve the efficiency of our processes.

How is your company’s work addressing this growth?
We have addressed our growing pains in several ways. We have expanded the team, hiring several vital individuals. We brought on a COO towards the end of 2022 and onboarded some new additions to the engineering team in 2023. In addition to expanding the team, we have forced ourselves to become more formal in our internal and external procedures to Certus. The number of new projects we signed in 2023 has forced us to modify and update how we were doing things, which is all part of learning and growing a business. However, the key to this growth is maintaining responsiveness, agility, and flexibility in serving our customers. We have always taken great pride in being able to work with customers to optimize our solutions for their products. This responsiveness always requires a significant level of iterative interaction; we do not want to lose this. Still, being nimble and flexible as support teams grow is challenging.

What do you think the biggest growth area for 2024 will be, and why?
We see our most significant growth area in 2024 as expanding our LVDS portfolio and our multi-protocol GPIO Libraries. We have developed specialized LVDS IP in several foundries and in many process nodes ranging from 130nm and 65nm down to 12nm. This is not your standard multi-gigabit SerDes; there are many good companies in this space, and we collaborate with many of them. We are not attempting to compete with them. Instead, our LVDS is specialized for applications such as radiation-hardened Spacewire, reliability-hardened LVDS interfaces for Industrial products, or ultra-low power IoT spaces as well. We have also developed a few specialized ultra-low power LVDS interfaces for die-to-die interconnect.

In addition to this, our multi-voltage/multi-protocol I/O Libraries see increased interest. Customers are looking to create flexible I/O banks that can interface to many possible standards, including I3C, I2C, DDC, HPD, AVI, SPI, eMMc, ONFI, RGMII, etc., and at many voltages, ranging from 0.9V to 3.3V, and even 5V, all with a single I/O design. Creating these flexible I/O banks is a design space we have become very adept at.

What conferences did you attend in 2023, and how was the traffic?
We attended several conferences last year. We attended DAC for the third year in a row and were pleased with the traffic we received. We were also able to see old colleagues and build new relationships. I presented at the Siemens U2U conference, discussing Certus’ experiences and successes utilizing the Siemens Analog FastSPICE platform. Additionally, Certus received the opportunity to present at the TSMC OIP Ecosystem Forum in Santa Clara, California, and Tokyo, Japan. I presented, in partnership with Siemens, on the topics of High Voltage (>10V) RF and Analog Interfaces for Standard Low Voltage CMOS TSMC Processes and Multi-Protocal and Electrical I/O Flexibility Catered for Automotive and Mobile Applications.

Will you attend conferences in 2024? Same or more?
We will return to DAC in 2024, this time with a larger space. We hope to have equal or more traffic than last year, reconnect with old colleagues, and build new relationships. We will also be attending GOMACTech in March. It will be our first time attending, so we hope to have some good traffic and build new relationships.

Additional questions or final comments?
As Certus grows, we look to continue improving our IP and developing new leading-edge solutions at existing and smaller nodes. We aspire to be the go-to provider for I/O and ESD solutions. We enjoy working closely with our customers and always want to engage with other forward-thinking companies. Contact info@certus-semi.com or visit us at www.certus-semi.com to get started!

Also Read:

Unique IO & ESD Solutions @ DAC 2023!

The Opportunity Costs of using foundry I/O vs. high-performance custom I/O Libraries

CEO Interview: Stephen Fairbanks of Certus Semiconductor


ESD Alliance and Silicon Assurance Host Industry Panel Discussion on Chiplet Security

ESD Alliance and Silicon Assurance Host Industry Panel Discussion on Chiplet Security
by Bob Smith on 02-13-2024 at 6:00 am

Phishing,,E mail,,Network,Security,,Computer,Hacker,,Cloud,Computing,Cyber,Security

Security threats are a hot topic of discussion today as they can have a profound impact on the electronic infrastructure and devices that are the backbone of our global economies. It is also clear that these threats can be introduced during the design of the very devices that we rely on in our daily lives.

Chiplet-based design is growing rapidly and the industry is recognizing that security measures must be taken during the design flow to ensure that security threats are not introduced — whether inadvertently or with malicious intent. These threats generally fall into one of two categories. The first are the unintentional hardware threats (circuitry) that may be a byproduct of automated circuit design technologies used to create complex chips. The second category includes hardware, such as trojans, that are maliciously and deliberately added to the design and can lay hidden within the design until triggered by specific signals or patterns.

Chiplets can come from many different sources and simultaneously the industry demand for new chiplet-based designs is accelerating. The industry needs to come to grips with the fact that the threats are real and that bringing security to the design flow is critical. Achieving this will require industry input, collaboration and consensus on supporting best practices and technologies for combatting these security threats.

The ESD Alliance, a SEMI Technology Community, and ESD Alliance member company Silicon Assurance are hosting an industry panel and discussion webinar Thursday, March 14, from 9 a.m. – 10 a.m. PST. The panel discussion will be moderated by Raj Gautam Dutta, CEO and co-founder of Silicon Assurance, a company focused on addressing trust and security assurance in the chip design flow.

Panelists come from a broad cross-section of the chip design industry and will discuss the threats that can occur during the various stages of the design flow and during assembly and test. They will also consider the latest advancements and different approaches that can be employed to safeguard the future of chiplet-based design.

The panel includes Swarup Bhunia, Semmoto Endowed Professor and Director of the Warren B. Nelms Institute; Steve Carlson, Director/Solutions Architect, Aerospace and Defense Solutions at Cadence Design Systems; John Hallman, Digital Verification Technology Solutions Manager for Siemens EDA; Serge Leef, Head of Secure Microelectronics at Microsoft; Salman Nasir, Senior Technical Program Manager from Battelle; and Ming Zhang, Vice President of R&D Acceleration at PDF Solutions.

Please join us for a better understanding of the magnitude of the potential threats and how the industry can come together to address them.

Registration for the virtual webinar Chiplet Security—Current and Future is free. Registration details can be found on the ESD Alliance website.

About The ESD Alliance

Hosting webinars and other educational events is part of the ESD Alliance’s charter to promote the growth of the electronic system design industry, including promoting small, innovative businesses and improving the efficiency of large and small companies. I encourage you to learn more about the ESD Alliance, a SEMI Technology, and recommend your company become a member if it is not one already. We represent members in the electronic system and semiconductor design ecosystem as the marketing advocate and address economic issues affecting the entire industry. We act as the central voice to communicate and promote the value of the semiconductor design ecosystem as a vital component of the global electronics industry.

If your company is already a SEMI member, consider becoming a member of the ESD Alliance by submitting a short application with no extra fee. If your company is not a SEMI member yet, it can apply at the same time to join the ESD Alliance. No additional membership dues are required to become a member of the ESD Alliance. Contact me at bsmith@semi.org  or Paul Cohen at pcohen@semi.org if you have questions.

Also Read:

Information Flow Tracking at RTL. Innovation in Verification

Rugged Security Solutions For Evolving Cybersecurity Threats

Cyber-Physical Security from Chip to Cloud with Post-Quantum Cryptography


Sustainable Development: Connected Devices and the Role of Flexible Semiconductors

Sustainable Development: Connected Devices and the Role of Flexible Semiconductors
by Kalar Rajendiran on 02-12-2024 at 10:00 am

Global Goals for Sustainable Development

The ambitious United Nations Sustainable Development Goals (SDGs), from reducing food waste to enhancing global healthcare access, hinge on a connected world where every object whispers valuable data. As technology strives to address environmental challenges, healthcare needs, and promote responsible consumption, traditional integrated circuits (ICs) are facing limitations in emerging applications. While traditional ICs power our smartphones and computers, their mechanical rigidity and environmental footprint create hurdles in achieving sustainability for emerging applications.

Vision of the Future Connected World

Item-level Intelligence

Item-level intelligence involves enabling individual items or products to collect, store, and transmit data through sensors and communication capabilities. This concept is particularly relevant in the Internet of Things (IoT) and connected devices, providing valuable information about an item’s status, location, and usage. For instance, in retail, smart tags on products enhance inventory management, while in healthcare, sensors on pharmaceuticals ensure proper storage conditions. In addition, health monitoring systems can become more sophisticated by leveraging wearable devices for continuous data analysis and personalized health recommendations. For example, smart patches could help prevent strokes through early detection of heart arrythmia.

Overall, item-level intelligence improves visibility, traceability, and efficiency across various industries and applications.

Smart Packaging

Smart packaging, a transformative concept, involves integrating intelligence into packaging to monitor food freshness, for example, or optimize healthcare item handling. Challenges such as cost, chip scarcity, and environmental concerns with traditional semiconductors hinder adoption. An alternative solution is flexible semiconductors, offering customizability and a lower carbon footprint, providing a viable path forward. At the forefront of this wavefront stands Pragmatic Semiconductor, a UK-based company pioneering the technology. Pragmatic’s flexible Integrated Circuits (FlexICs) use thin-film transistor (TFT) technology in combination with conventional semiconductor processing to deliver the world’s most complex flexible circuits.

FlexICs

These ultra-low-cost circuits can be shaped to a millimeter-range radius of curvature without impairing functionality. FlexICs enable ease of addition of item-level intelligence at large-scale. FlexIC fabrication omits many of the resource-intensive stages of silicon semiconductor manufacturing, resulting in a single-site production process that is not only faster but also de-risks against a globally disaggregated supply chain, thereby promoting semiconductor sovereignty and onshoring production. Single-site production provides environment-friendly benefits as well, as discussed below.

Environmental Impact and Customization

FlexICs also boast a lower environmental impact compared to traditional silicon, since the simplified production requires less water and energy, and fewer hazardous chemicals, compared to silicon fabs. It also produces no PFASs – per- and poly-fluoroalkyl substances, otherwise known as ‘forever chemicals’.  This aligns perfectly with the UN’s push for sustainability.

 

Traditional semiconductor supply chains can span several geographies. The single-site production process of FlexICs eliminates the air miles a standard silicon chip is likely to rack up as it jets around the world from the fab to the packager to final assembly.

Market Opportunities Galore

The IoT has connected our devices, but the future lies in the Internet of Everything (IoE), where every object, from furniture to clothing, interacts and shares data. FlexICs, with their adaptability and affordability, are the perfect bridge between these two domains. Imagine bendable displays integrated into shaped walls or smart fabrics that monitor our health. They’re the possibilities FlexICs unlock, paving the way for a truly interconnected ecosystem. Imagine wound dressings that not only protect but also monitor healing in real-time, sending data to doctors for personalized care. Use of microscopic smart sensors in “in vivo” applications for gaining crucial medical insights is a strong possibility, with of course FDA (or other country-specific equivalent) approvals. This is the healthcare revolution FlexICs bring, democratizing access to data-driven care and transforming the way we diagnose, treat, and prevent diseases.

The Pragmatic Revolution

Pragmatic’s solutions empower businesses to harness the power of flexible integrated circuits. FlexICs offer a compelling alternative to legacy-node chips, providing sufficient performance for simple tasks. The company’s rapid production method enables quick iteration of designs and chip production within weeks, accelerating time to market for IoT devices. By utilizing flexible semiconductors for tasks where “just enough” performance suffices, silicon can be freed up for high-end applications. This approach not only enables rapid, high-volume production through localized fabrication but also yields significant savings in production time, materials inventory, and transportation costs. In essence, FlexIC fabs offer the opportunity to achieve semiconductor sovereignty at a fraction of the cost, time, and resource consumption of traditional silicon fabs.

Summary

As the semiconductor industry aligns its trajectory with UN’s SDGs, FlexICs emerge as a catalyst for transformative change. Pragmatic’s dedication to providing sustainable solutions in diverse applications exemplifies the profound impact of FlexIC technology. The journey from traditional ICs to FlexICs signifies not only a technological evolution but a step towards a future where technology seamlessly integrates with our lives, fostering innovation, sustainability, and connectivity across industries.

For more details, visit www.pragmaticsemi.com

Also Read:

CEO Interview: David Moore of Pragmatic

KLAC- OK Quarter & flat guide- Hopefully 2025 recovery- Big China % & Backlog

LRCX- In line Q4 & flat guide- No recovery yet- China still 40%- Lags Litho


Outlook 2024 with Dr. Laura Matz CEO of Athinia

Outlook 2024 with Dr. Laura Matz CEO of Athinia
by Daniel Nenni on 02-12-2024 at 6:00 am

PR Aufnahmen für gewerbliche Zwecke

Laura Matz is also the Science & Technology Officer of Merck KGaA, Darmstadt, Germany. She has always been a key contributor to the growth in semiconductor materials, driving a strong R&D presence to enable business growth.

Laura is a strong advocate for young talent in science and engineering. As a leader, she builds teams to find innovative solutions to the problems facing humanity and implement them with the discipline and rigor to create the greatest impact. In 2023, she joined SEMI Impact for Skills as a board member, a governance program to support upskilling and reskilling, to attract new talent, and to unlock EU and national/ regional funding. In addition, she is a board member of AIChE (American Institute of Chemical Engineers), a leading organization for chemical engineering professionals.

Laura has a Ph.D. in Analytical Chemistry from Washington State University and an undergraduate degree from the Indiana University of Pennsylvania.

Tell us a little bit about yourself and your company.
I have a dual role: CEO of Athinia and Chief Science & Technology Officer at Merck KGaA, Darmstadt, Germany.

Athinia is a secure data analytics platform for collaborating on relevant information from materials and equipment suppliers, device makers, and fabs in the semiconductor industry, with the goal of improving decision-making, minimizing quality deviations, and increasing efficiencies. With the proliferation of digital technologies, there is immense pressure on the semiconductor industry to produce with zero defects and deliver new innovations to market faster. The immense amounts of data produced today create opportunities for not only a single company but for the entire value chain to achieve excellence in production, innovation, and cost reduction. The challenge is that individual companies do not want to establish an isolated ecosystem given the prohibitive cost and time investments. The industry needs a standard in quality and manufacturing management, one based on a data ecosystem that allows for the secure and continuous sharing of data between many companies in the semiconductor industry. This is why we started Athinia.

What was the most exciting high point of 2023 for your company?
In 2023, Athinia expanded its industry collaboration platform, connecting material suppliers and device makers more deeply. This enlarged network through Athinia’s secure platform has led to greater transparency in the supply chain, heightened efficiency in operations, spurred innovation with shared knowledge, improved risk management, and fostered stronger business relationships. These developments have collectively boosted the industry’s capacity for technological advancement and market adaptability.

In 2023, Athinia achieved a significant milestone by fostering a novel industry collaboration. By integrating Tokyo Electron Limited (TEL) into Athinia’s data analytics platform, Athinia has expanded its network and created a secure platform for device makers and equipment suppliers to collaborate effectively. The collaboration has led to feasibility to further improve equipment performance, efficiency, and maintenance, created mutually benefits for both equipment and device makers. Athinia’s platform facilitates this by offering a secure environment for sharing insights, which adds value to the entire industry and sets a new standard for collaboration.

What was the biggest challenge your company faced in 2023?
The semiconductor industry is data extensive and large-scale organizations need to deal with vast amounts of data. To be able to derive insights from data that unlock efficiencies, shorten time to market, and improve quality, supply chain and sustainability, data needs to be shared across the value chain while ensuring stakeholders maintain control of their intellectual property. To enable successful data collaboration, unstructured content needs to be curated, data quality improved, and diverse sources integrated. However, many companies on the materials side do not employ data scientists.

How is your company’s work addressing this biggest challenge?
Athinia leverages Palantir Foundry for AI/ML and data analytics, focusing on integrating diverse data sources into a unified environment. This process involves effective ETL (Extract, Transform, Load) operations, data quality management, and building a reliable data foundation from various systems like manufacturing, quality control, and supply chain management. Using Foundry’s advanced AI/ML toolkit, Athinia develops and deploys models, with tools for no-code development and custom model creation via shared workspaces. These models drive operational insights, helping inform decisions through visualizations and actionable recommendations.

Palantir Foundry features walk-up usable applications such as Object Explorer, requiring minimal setup for immediate use and low maintenance. Foundry’s Workshop allows the creation of customized applications for specific workflows, with no/low-code builders for intuitive user interaction and minimal backend management. Its widget-driven interfaces cater to various skill levels, ensuring adaptability and scalability. Foundry’s open API architecture facilitates seamless integration with emerging technologies, while its decision orchestration layer bridges analytics with operational workflows, promoting continuous learning and adaptability.

Athinia is designed for scalability, handling increased data and model complexity without losing performance. It includes robust model governance and ethical AI practices, ensuring continuous model evaluation and responsible use with a human-in-the-loop approach. Collaboration is facilitated across teams, with an extensible architecture that integrates with other systems, turning data-driven insights into operational actions. Foundry enables Athinia to transform data into meaningful insights and actionable business outcomes, ensuring improved operational performance and yield.

In addition, Athinia is leveraging the strict data and security standards of the Foundry platform that are trusted by, e.g., the healthcare and defense industry. The Foundry platform’s robust security end-to-end architecture protects intellectual property and ensures customers always stay in control of their data. Customers own their data, Athinia has no access to it. With tailored and granular permissions, customers control who they share data with, how the data can be used, and for how long. Multi-level approval workflows ensure that data sharing follows your company’s data governance framework. Whenever parties are not willing to share raw process data, they have the possibility to obfuscate and normalize the data before it is being shared to protect sensitive data while maintaining its usefulness for advanced analytics such as machine learning.

What do you think the biggest growth area for 2024 will be, and why?
We are on the cusp of a revolution of AI adoption in all aspects of our global society. The drive for higher performance, enabling new AI solutions and faster AI insights for next-generation technologies in both memory and logic devices have never been more important. The material’s intelligence and development of advanced materials becomes really important in order to build a new generation of technologies. Over the next five years, the industry will experience a significant evolution in new nodes and facilities being built.

How is your company’s work addressing this growth?
Athinia is working with its customers to understand which materials will be needed, predict material ramps, accelerate qualification of materials with new production characteristics, and facilitate rapid innovation in the semiconductor industry through secure data sharing and advanced analytics enabling real-time insights.

Our secure data analytics platform processes diverse supplier data using machine learning to predict material performance. The platform offers real-time analytics for process monitoring and decision-making, with scalable data ingestion for adapting to growing data needs. Continuous improvement is achieved through a feedback loop, refining qualification models for efficiency. This results in a secure, adaptable, and sophisticated analytics platform that streamlines the materials qualification process.

The Athinia platform enables quick, informed decision-making, enhances collaborative research and development, and optimizes manufacturing processes. With predictive maintenance and digital twins, companies minimize downtime, and the platform’s robust security measures safeguard intellectual property. Athinia also aids in regulatory compliance and promotes sustainable manufacturing practices, supporting companies in staying competitive in a fast-paced market.

Furthermore, Athinia can enable tracking of the movement of materials and components throughout the supply chain. This transparency helps to ensure that all parts are legitimate and meet quality and emission requirements. By integrating data from all tiers of suppliers and establishing a unified ontology, Athinia helps customers gaining comprehensive visibility into key sustainability metrics like energy use, emissions, and waste generation, while still maintaining each node/tier intellectual property. Athinia’s real-time data processing and visualization capabilities allow continuous monitoring. Its AI/ML tools enable predictive analytics and scenario modeling, assisting in foreseeing and managing potential sustainability issues. The platform also aids in supplier assessments, regulatory compliance, and reporting. Additionally, the collaborative tools and machine learning insights drive continuous improvement and resilience in the supply chain, ensuring Athinia not only meets but also sets new industry benchmarks in sustainability. Athinia is founding member of the SEMI SCC, committed to working with high vertical sustainability via the value chain.

What conferences did you attend in 2023 and how was the traffic?
Athinia actively engaged with key semiconductor industry trends and developments. In 2023, Athinia participated in and presented pioneering data analytics examples at several industry conferences, including SEMICON West and CMC Critical Materials Council.

Will you attend conferences in 2024? Same or more?
I just came back from CES where I spoke on an AI panel in my role as Chief Science & Technology Officer at Merck KGaA, Darmstadt, Germany. Also in January, Athinia was recognized as the technology-enabled data collaboration platform at ISS in Half Moon Bay.

We will continue to intensively engage with key semiconductor industry trends and developments. Athinia is planning to attend the key semiconductor conferences to showcase the more recent industry innovation that resulted from data collaboration. We hope to see everyone at SEMICON West and other events.

Also Read:

Semiconductor Devices: 3 Tricks to Device Innovation

Investing in a sustainable semiconductor future: Materials Matter

Step into the Future with New Area-Selective Processing Solutions for FSAV


Podcast EP207: How Achronix is Enabling Multi-Die Design and a Chiplet Ecosystem with Nick Ilyadis

Podcast EP207: How Achronix is Enabling Multi-Die Design and a Chiplet Ecosystem with Nick Ilyadis
by Daniel Nenni on 02-09-2024 at 10:00 am

Dan is joined by Nick Ilyadis, vice president of product planning at Achronix. Prior to Achronix, Nick was vice president of portfolio and technology strategy at Marvell Semiconductor and vice president and group CTO at Broadcom. Nick has also held many engineering roles during his career, starting as a chip designer and moving up through management to lead both device and product engineering teams. Nick is passionate about technology and a prolific inventor with 75 issued patents across all aspects of wired and wireless communications.

Nick describes how Achronix FPGA technology is enabling new types of designs with a focus on AI enablement. The strategies used to develop multi-die, chiplet-based designs and the various ways Achronix is making new design approaches a reality through technology development and partnerships are also discussed.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


CEO Interview: Vincent Bligny of Aniah

CEO Interview: Vincent Bligny of Aniah
by Daniel Nenni on 02-09-2024 at 6:00 am

Imge 2

Vincent Bligny is a renowned expert in mixed-signal verification, particularly with transistor-level formal techniques. He spent 15 years in this industry, mainly within STMicroelectronics’ design and verification teams, allowing him to understand the challenges and opportunities of the EDA field.

 Tell us about your company?
Aniah is an EDA startup founded in the French Silicon Valley in Grenoble, in 2019, by Remi Moriceau and I. It relies on several years of joint academic research with the Ecole Normale Supérieure de Lyon (ens-lyon.fr) and the CEA (cea.fr). Aniah is now a 36-employee company, with headquarters in Grenoble, France and a branch office in Taïwan and in the USA in 2024.

What problems are you solving?
Aniah OneCheck detects transistor-level design errors that elude all other verification techniques and is applicable from early design phases to sign-off. We take a no-compromise approach to error detection as any error on Silicon may jeopardize the project’s time to market.

Aniah OneCheck has 4 unique advantages:

  • 100% coverage for the targeted transistor-level errors, including all power states – a chip using low-power design techniques will have 1000s of unique power states
  • A user-interface that targets all design engineers with instant learning curve. This interface is designed with highly efficient, batch-analysis capacity in mind
  • 1000 times faster than existing tools – a design with 20 million transistor is analyzed in just 2 seconds. Designs with billions of transistors can be analyzed in a few minutes.
  • Detection of conditional HiZ nets from IP to SoC scale. Our approach has a superior coverage with a comprehensive detection of purely analog errors. This feature extends to detection of DC-path, low-power ageing, and dynamic clocking issues.

Finally, one of our customers’ problems that we contribute to solving is the high energy cost of simulation for mixed-signal verification, estimated by one of our customers at 4 GWh per project.

The formal, rule-based verification done by Aniah OneCheck is intrinsically energy-efficient and leads to a significant reduction in the number of simulations that must be run in Spice or Fast-Spice.

What application areas are your strongest?
Aniah OneCheck has value for all types of IC design:

  • IP design: checking 100% of the operating modes, automated regression, in-depth verification and generation of Spice testbench and views
  • Analog designs: boost design efficiency with continuous checks. Automation of the design release process (checklists)
  • Mixed-signal ICs: check the consistency of IPs / analog / digital blocs across the full scale of operating modes. “Industrialize the top-level assembly stage” and ensure sign-off convergence.
  • Large-Scale SoC: validate IPs from external vendors before integration, check UPF electrical consistency during its development, secure tape-outs schedule.
    Most errors found by Aniah OneCheck in large-scale SoC “should have been found by digital-centric tools” or “shouldn’t be allowed by the implementation flow”, but our experience has been that Aniah OneCheck detects serious errors every time on digital circuits.

Our customers get the best efficiency and quality of results when using our flow bottom-up, which is 100% seamless as all setup from IP and macros can be directly injected in the IC analysis.

What keeps your customers up at night?
Based on public data from the Wilson research group study in 2020:

  • 68% of circuits require a redesign.
  • 85% of all circuits in production contain errors.

We help design teams avoid a most of these errors and relieve design engineers from the burden and stress of Silicon failures due to complex system assembly.

What does the competitive landscape look like and how do you differentiate?
Our #1 differentiation factor is coverage: customers who want the absolute best quality and detect errors in all types of circuitries love our solution.

Another differentiation factor is cost: some of our customers, who had spent more than 5 millions dollars on customization of standard EDA solutions over a decade, have been able to use our solution right out of the box – with the added value of superior productivity, thanks to a user interface purpose-build for the task.

A third key differentiator is runtime: with runtime in seconds for mixed-signal ICs, in minutes for billion-transistor SoC, our customers can go through all steps in the analysis, loop on errors fixes and set-up updates in less than a day.

Importantly, the scale of the IC has strictly no impact on coverage.

What new features/technology are you working on?
Our high-capacity, high-accuracy circuit analysis engine opens completely new possibilities, which we are very excited about:

  • Reliability modelling: provide a model of IC lifetime including oxide breakdown, EM and xBTI impact, with 2 key capacity: a. what-if analysis vs. mission profile and b. exhaustive detection of reliability hotspot to secure HTOL
  • IDDQ modelling across corner, temperature for test and industrialization engineers.
  • Virtual Lab for ISO 26262 standard for structural tests and functional safety

How do customers normally engage with your company? 
The most common entry process for our customers is “I’ve had a Silicon Bug – I don’t want that to happen ever again!”.

Our customers reach us through our sales network partners and in most cases, will engage in an evaluation. Aniah dedicates an AE engineer to each of our customers to ensure that OneCheck can be deployed throughout the design teams with the highest verification quality on all projects.

Our customers can purchase our solution for on-premises or SaaS deployment.

Also Read:

CEO Interview: Venkata Simhadri of MosChip

CEO Interview: Pat Brockett of Celera

CEO Interview: Islam Nashaat of Master Micro


Outlook 2024 with Anna Fontanelli Founder & CEO MZ Technologies

Outlook 2024 with Anna Fontanelli Founder & CEO MZ Technologies
by Daniel Nenni on 02-08-2024 at 10:00 am

ANNA (1)

I spoke with Anna again at the Chiplet Summit this week, we had previously spoken at DAC 2023. MZ is short for Monozukuri which is a Japanese term that translates to “making things” or “manufacturing.” In a broader sense, it refers to the art, science, and craftsmanship of creating products which fit chiplets quite well.

Anna Fontanelli has more than 25 years of expertise in managing complex R&D organizations/programs to give birth to innovative EDA technologies. Fontanelli is an expert in IC/package co-design and led Monozukuri in the launch of GENIO, bringing a holistic design environment for 2D, 2.5D and 3D multi-component systems.

Tell us a little bit about yourself and your company.
I’m the Founder and CEO of Monozukuri, S.pA. who takes its products and services to market under MZ Technologies brand.  Our mission is to conquer 2.5D & 3D design challenges by delivering innovative, ground-breaking EDA software solutions and methodologies. Our technology redefines the co-design of heterogeneous microelectronic systems by providing an improved level of automation in three-dimensional interconnect optimization.

What was the most exciting high point of 2023 for your company?
We reached a huge milestone when an internationally respected System/ASIC company adopted our GENIOTM 1.7 fully-integrated EDA co-design tool.  They adopted a full-suite license and are targeting a next generation product family based on heterogeneous advanced system-in-package technology.

What was the biggest challenge your company faced in 2023?
People.  We are moving into a global expansion and are finding the availability of business development and account service pros who truly understand the needs of the marketplace are few and far between. We’re looking for people who are technically-sophisticated and sufficiently business savvy to help advanced technology IC companies take on their challenges.

How is your company’s work addressing this biggest challenge?
We’re recruiting like crazy.  We’re reaching out to industry contacts and we’re advertising on EDA websites.

What do you think the biggest growth area for 2024 will be, and why?
Clearly, Heterogeneous die integration challenges are going to do nothing but increase this year.  Yield, cost of design, and time-to-market are going to become paramount.

How is your company’s work addressing this growth?
We’re going to address some of most vexing advanced systems challenges: Helping designers deliver energy-efficiency improvement … that is performance per mW … and latency reduction.  We’re enabling a design approach that integrates signal and power integrity with thermal analysis for simulation-aware system interconnect optimization. This will allow technology-aware architecture exploration, 3D floor planning and system interconnect optimization to enable early up feasibility analysis without starting any physical implementation.

What conferences did you attend in 2023 and how was the traffic?
We attended DAC, where we had some very good exploratory meetings and we presented papers at DATE and the IEEE EDAPS 2023 Hybrid Conference. We’re not really worried about a lot of traffic per se. We offer a very specific value proposition, so the quality of the attendance is more important than the quantity.

Will you attend conferences in 2024? Same or more?
We’ll more than likely add Chiplet Summit to our conference attendance.

Additional questions or final comments?
2024 going to be all about chiplets, packaging and systems integration.  The most demanding IC systems today combine multiple components such as chiplets, memory and ASICs.  The package poses the challenge of handling, updating & optimizing complex interconnects in a 3D space. Present-day 3D chiplet architecture demands die stacking and silicon-to-silicon vertical communications capabilities using a mix-and-match “LEGO-like” assembly.  This new chiplet packaging requires new tools, new methodologies, and new flows.

Also Read:

CEO Interview: Anna Fontanelli of MZ Technologies

What ChatGPT has to say about the Chiplet Summit

Chiplet Summit 2024 Preview


SOITEC Pushes Substrate Advantages for Edge Inference

SOITEC Pushes Substrate Advantages for Edge Inference
by Bernard Murphy on 02-08-2024 at 6:00 am

FD SOI power min

You might not immediately see a connection between semiconductor substrate choices and inference at the edge. These technology layers seem worlds apart and yet SOITEC have a point. Edge AI has rapidly evolved from simple CNNs to now complex reinforcement learning systems and transformer based LLMs. Even when shrunk to edge footprints, these architectures are still very demanding in performance and power, challenging metrics for any edge device. Optimizing power especially requires dedicated investment all the way from architecture down to process. Product designers can’t afford to leave anything on the table, which means that the substrate on which circuits are built is just as important as other considerations.


The need for low power

There are many different types of intelligent edge device, but they uniformly need to run on little to no power. Edge devices commonly run on batteries or even without a battery, harvesting power from sunlight or ambient wireless energy. For consumer devices we expect to need to recharge every few days, but we don’t expect adding a smart voice command interpreter to push recharges to twice a day.

Conversely, we expect remote intelligent devices, for agriculture, grid, wildfire detection or infrastructure monitoring to be able to run for years before maintenance. Acceptable recharge rates for consumer devices would be completely unacceptable for remote or widely deployed IoT products .

AI in a car runs off the battery but now there are a lot more demands on those batteries from multiple ADAS sensors, intelligent entertainment options, navigation and driver monitoring systems. Adding to these multiple power demands cannot reduce a 300-mile range for an EV to 200-miles. Intelligent products must be as close as possible to invisible in the car power envelope.

And then there are competitive considerations. Inference AI is now commonly measured against inferences per watt per second. AI at the edge is a hugely competitive field so this metric must be optimized in every direction – inference rate (in frames per second for example) at the smallest possible power consumption.

The advantage of SOI technologies

I have written before about SiC substrates to enable power electronics for EVs and EV charging stations. SOITEC offerings also include SOI (silicon on insulator), POI (piezoelectric on insulator), and GaN (gallium nitride). Each builds on an insulation layer immediately below a thin semiconductor layer on which devices are patterned. This structure eliminates (or largely eliminates) the leakage through bulk silicon, a challenge which became limiting for mainstream semiconductor processes.

Other technologies have been developed, such as FinFET, to reduce leakage though in different ways. However those processes are more complex, resulting in higher wafer costs and therefore unit device costs.

Architects and firmware designers can also minimize leakage by turning off sections of a chip when not active. This method is effective, but design requires great care to ensure that dependencies between different aspects of functionality in the chip can be cleanly separated. If shortly after turning off a powered-down section it must turn on again to service some overlooked requirement, no power is really saved. Worse still, powering down and powering back up takes time, first in delays to turn off to allow time for dependent functions to complete. And later when turning back on to first recover to the same internal state in the function right before it powered down. All this overhead adds latency and reduces true performance for the device.

SOI technologies with inherently very low leakage can more fully exploit conventional sleep modes such as clock gating and DVFS than can non-SOI technologies. These techniques result in much simpler and lower latency demands on transitions between sleep and wake modes. And because the process is less complex than other advanced processes, SOI is intrinsically more efficient in inferences/watt/$.

Interested? You can learn more HERE.


2024 Outlook with Cristian Amitroaie, Founder and CEO of AMIQ EDA

2024 Outlook with Cristian Amitroaie, Founder and CEO of AMIQ EDA
by Daniel Nenni on 02-07-2024 at 10:00 am

AMIQ EDA DVT Eclipse

SemiWki has been working with AMIQ EDA for more than four years now and it has been quite the education. AMIQ EDA is a company that specializes in providing development and verification solutions for digital design and verification teams in the semiconductor industry. They offer a range of products and services aimed at improving productivity, efficiency, and quality in the design and verification process.

AMIQ EDA’s tools are widely used by semiconductor companies, design houses, and verification teams worldwide to streamline their development and verification processes, reduce time-to-market, and ensure the reliability of their designs.

Tell us a little bit about your company.
 We are an EDA company providing software tools targeting both chip design and chip verification. Our tools enable engineers to increase the speed and quality of new code development, simplify debugging and legacy code maintenance, accelerate language and methodology learning, improve testbench reliability, extract automatically accurate documentation, and implement best coding practices.

What was the most exciting high point of 2023 for your company?
As my co-founders mentioned in an interview with you in July, 2023 was the twentieth anniversary of AMIQ, consisting of AMIQ EDA and AMIQ Consulting. Although lots of great things happened last year, our celebration stands out the most. We have wonderful customers, and we are honored that they have been so supportive for more than two decades.

What was the biggest challenge your company faced in 2023?
There were really three challenges:

  • Continuing to provide strong customer support as our user base increased significantly
  • Maintaining quality as the number of our products, features, and use models grew
  • Hiring the right people to deliver on our product evolution, quality, and support

How is your company’s work addressing this biggest challenge?
For customer support, we’re investing in leveraged assets such as more intuitive user interfaces, more detailed documentation, and demo movies showing how to use our tools. We increased our investment in product quality by growing our QA team and enhancing our regression test suites. On the hiring side, we’ve found that an extensive internship program is a great way to find and train the best engineers.

What do you think the biggest growth area for 2024 will be, and why?
Our Design and Verification Tools (DVT) Integrated Development Environment (IDE) will remain our flagship product, but use of our other tools has been growing rapidly. Our Verissimo SystemVerilog linter has been widely adopted as people realize that the minimal code checking done by other tools is insufficient for enduring quality and correctness. We’re also seeing increased interest in our Specador documentation generator.

How is your company’s work addressing this growth?
We’re always adding more features to DVT IDE, in parallel on both the Visual Studio (VS) Code and Eclipse platforms. Verissimo is evolving at a rapid pace as we offer dozens of new rules every year, many of them suggested by our users. In addition, we have a major new release of Specador offering more ways to autogenerate high-quality documentation from user code.

What conferences did you attend in 2023 and how was the traffic?
We were glad to be able to return to in-person events last year. We exhibited at the Design Automation Conference (DAC) in the U.S., the Design and Verification Conference (DVCon) in the U.S. and Europe, and ChipEX in Israel. Traffic was generally quite good, although I doubt that we will ever get back to the “good old days” of bigger travel budgets and no pandemic concerns.

Will you attend conferences in 2024? Same or more?
At this point, we are planning to attend the same events. For us, conferences are less about generating new leads and more about connecting face-to-face with our users. We’re always prepared to show demos of new features and provide on-the-spot customer support. It’s great to see old friends and meet new ones, so I think that conferences will continue to be important for us.

Additional questions or final comments?
2023 was a truly great year for AMIQ EDA, and I have every expectation that 2024 will be outstanding as well. We’ll continue to be very busy enhancing our products and keeping up with new versions of the many standards we support. I’ll keep you updated with our regular chats. Thank you for the chance to share our thoughts.

Also Read:

Using Linting to Write Error-Free Testbench Code

AMIQ: Celebrating 20 Years in Consulting and EDA

A Hardware IDE for VS Code Fans