hip webinar automating integration workflow 800x100 (1)

Mentor U2U, Not Your Father’s User Conference

Mentor U2U, Not Your Father’s User Conference
by Paul McLellan on 04-10-2013 at 6:00 pm

I talked to Michael Buehler-Garcia about the changes Mentor is making to U2U, their user conference. It is in San Jose on April 25th at the DoubleTree.

Firstly, there are 3 great keynotes, two of whom I’ve seen speak before and can unreservedly recommend. Unfortunately I’m traveling that week and won’t be able… Read More


Cadence Sues Berkeley Design Automation

Cadence Sues Berkeley Design Automation
by Paul McLellan on 04-10-2013 at 10:03 am

Cadence has brought a suit against Berkeley Design Automation for, as far as I can see, integrating their AFS circuit simulator with the Virtuoso Analog Design Environment (ADE) without using the (licensed) Oasis product. Since BDA is (actually was) a member of the Cadence Connections program, they have to abide by the contract… Read More


GlobalFoundries in Singapore

GlobalFoundries in Singapore
by Paul McLellan on 04-09-2013 at 11:12 pm

I hosted a webinar today for GlobalFoundries. Yes, I know that today was TSMC’s Technology Symposium, we weren’t that smart when we picked the date. It was basically a “fireside chat” with me as the moderator asking the questions and Paul Colestock and Aabid Husain as my guests. We actually did it at Cadence… Read More


Manage your IC’s Stress for right performance

Manage your IC’s Stress for right performance
by Pawan Fangaria on 04-09-2013 at 9:30 pm

As we have moved towards lower process nodes to improve performance of ICs with higher density and functionality, many manufacturing effects have appeared which can render ICs useless, even though the layout design could be correct as per traditional design rules. What is more worrisome is the variability of these effects which… Read More


How Long Does it Take to Go From a Muddy Field to Full 28nm Capacity?

How Long Does it Take to Go From a Muddy Field to Full 28nm Capacity?
by Paul McLellan on 04-09-2013 at 4:02 pm


TSMC has a lot of capacity. Not just that, it has a lot more under construction. It currently has 3 300mm Gigafabs, fabs 12,14 and 15 (there doesn’t seem to be a 13). This morning, Dr Wang, who is TSMC’s VP of 300mm operations told us about the expansion plans. Currently fab 15 phase 3 and 4, and fab 12 phase 3 are to be ramped… Read More


RTL Power Optimization

RTL Power Optimization
by Paul McLellan on 04-09-2013 at 10:23 am

More so than most aspects of design, power reduction suffers from a paradox that early in the design cycle when the gains are the largest, the accuracy of power estimation is the lowest, and then late in the design cycle, when everything is known pretty much exactly it is too late to make anything other than trivial optimizations. … Read More


SoC Power Integrity Challenges

SoC Power Integrity Challenges
by Daniel Payne on 04-08-2013 at 4:46 pm

At DAC in 2012 I visited a few dozen EDA companies and blogged 32 articles, however I didn’t get to see what Apache Design (now a subsidiary of ANSYS) had to say. I did have 20 minutes today to watch their latest video on SoC Power Integrity Challenges and decided to share what I learned. If you want to watch the video at Tech Online,… Read More


Work With SemiWiki and Get Acquired?

Work With SemiWiki and Get Acquired?
by Daniel Nenni on 04-08-2013 at 4:27 pm

One of the nice things about being part of a website such as SemiWiki is that you get to see real-time trends and analytics. SemiWiki is built on top of a relational database with a full content manager and integrated analytics. It also allows us to see historical data that we can compare and contrast to what is happening today.

One of… Read More


Cutting the Key to 14nm Lithography

Cutting the Key to 14nm Lithography
by Beth Martin on 04-08-2013 at 2:30 pm

It appears that immersion lithography is now the plan of record for manufacturing ICs at 14nm. How is it possible to use 193nm wavelength light at 14nm? How can we provide the process window to pattern the such tight pitches? The secret lies in computational lithography. For 20nm, the two key innovations in computational lithography… Read More


PCI Express IP vendor Cascade acquisition by Synopsys…

PCI Express IP vendor Cascade acquisition by Synopsys…
by Eric Esteve on 04-08-2013 at 9:42 am

… is now 8 years old, and the money paid for this 10 engineers start-up was considered, at that time, as a “bingo” for Cascade’s funders: “In October 2004, the Company completed the acquisition of Cascade Semiconductor Solutions, Inc. (Cascade) for total upfront consideration of $15.8 million and contingent consideration of … Read More