hip webinar automating integration workflow 800x100 (1)
WP_Term Object
(
    [term_id] => 158
    [name] => Foundries
    [slug] => semiconductor-manufacturers
    [term_group] => 0
    [term_taxonomy_id] => 158
    [taxonomy] => category
    [description] => 
    [parent] => 0
    [count] => 1234
    [filter] => raw
    [cat_ID] => 158
    [category_count] => 1234
    [category_description] => 
    [cat_name] => Foundries
    [category_nicename] => semiconductor-manufacturers
    [category_parent] => 0
    [is_post] => 
)

Piper Jaffray Chip Analyst Spanks Intel!

Piper Jaffray Chip Analyst Spanks Intel!
by Daniel Nenni on 05-15-2012 at 7:30 pm

This just in from Tech Trader Daily, quoting Piper Jaffraychip analyst Gus Richard:

The whole issue for Qualcomm, based on Richard’s conversations with industry types, is that the company has started making its “MSM8960″ chip with Taiwan Semiconductor Manufacturing (
TSM) only two to three quarters after TSM introducedRead More


TSMC Tops Intel, Samsung in Capacity!

TSMC Tops Intel, Samsung in Capacity!
by Daniel Nenni on 05-13-2012 at 7:00 pm

While I was marlin fishing in Hawaii last week I missed some interesting comments from TSMC executives at the Technology Symposium in Taiwan, a much different show than the one here in San Jose I’m told. It is good to see TSMC setting the record straight and taking a little credit for what they have accomplished! I’m sorry I missed it… Read More


Intel Foundry All Hat No Cattle?

Intel Foundry All Hat No Cattle?
by Daniel Nenni on 05-12-2012 at 12:19 am

If you look real close at the #49 DAC floor plan you will see the tiny Intel booth dwarfed by those of TSMC, GlobalFoundries, Samsung, and ARM. The number one semiconductor company in the world does not have the budget for the cornerstone conference of the semiconductor ecosystem? Oh my…… Intel has a big foundry hat and no cattle… Read More


Apple Games Qualcomm’s 28nm Supply for Labor Day iPhone 5 Launch

Apple Games Qualcomm’s 28nm Supply for Labor Day iPhone 5 Launch
by Ed McKernan on 05-09-2012 at 11:17 pm

You can see a lot just by looking – as Yogi Berra was quoted as saying. The past two weeks we have seen quite a bit that adds intrigue to the true nature of Qualcomm’s 28nm product shortage and how the effects will ripple through the mobile industry for the second half of 2012. Samsung’s bold announcement of their Galaxy S III smartphones… Read More


TSMC 20nm Challenges!

TSMC 20nm Challenges!
by Daniel Nenni on 05-06-2012 at 7:00 pm

Now that the 28nm challenges are dead
It is time to look ahead
The tabloid pundits may not agree
But Moore’s law again you will see
The semiconductor ecosystem is humming
(2X gate density -20%+ performance-20%+ power savings)
The 20nm design starts are coming!

Okay, I’m really bad at poetry. Gambling however, I do pretty well. Las… Read More


GlobalFoundries 2012 Update!

GlobalFoundries 2012 Update!
by Daniel Nenni on 05-04-2012 at 8:55 pm

What’s new with Glofo? Quite a bit actually. It was interesting to see a Made in America: Global Companies Expand in U.S. Towns segment on semiconductors! Give it a look, I enjoyed it. It’s an election year, jobs are key to any election, so it did not surprise me to see President Obama making the rounds:… Read More


IC design at 20nm with TSMC and Synopsys

IC design at 20nm with TSMC and Synopsys
by Daniel Payne on 05-02-2012 at 10:25 am

willychen80x95

While the debate rages on about 28nm yield at foundry juggernaut TSMC, on Monday I attended a webinar on 20nm IC design hosted by TSMC and Synopsys. Double Patterning Technology (DPT) becomes a requirement for several layers of your 20nm IC design which then impact many of your EDA tools and methodology.… Read More


Intel says fabless model collapsing… really?

Intel says fabless model collapsing… really?
by Daniel Nenni on 04-28-2012 at 7:00 pm

There is an interesting discussion in the SemiWiki forum in response to the EETimes article: Intel exec says fabless model ‘collapsing’. Definitely an interesting debate, one worth our time since the advertising click hungry industry pundits will certainly jump all over it. Clearly I’m biased since I helped build… Read More


Introduction to FinFET technology Part II

Introduction to FinFET technology Part II
by Tom Dillinger on 04-27-2012 at 9:00 am

The previous post in this series provided an overview of FinFET devices. This article will briefly cover FinFET fabrication.

The major process steps in fabricating silicon fins are shown in Figures 1 through 3. The step that defines the fin thickness uses Sidewall Image Transfer (SIT). Low-pressure chemical vapor (isotropic)… Read More


Intel’s Ivy Bridge Mopping Up Campaign

Intel’s Ivy Bridge Mopping Up Campaign
by Ed McKernan on 04-26-2012 at 9:03 pm

In every Intel product announcement and PR event, there are hours of behind the scenes meetings to discuss what they should introduce, what are the messages and what are the effects on the marketplace to maximize the impact of the moment. The Ivy Bridge product release speaks volumes of what they want to accomplish over the coming… Read More